OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_sysinfo.vhd] - Blame information for rev 73

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - System/Processor Configuration Information Memory (SYSINFO) >>                   #
3
-- # ********************************************************************************************* #
4 47 zero_gravi
-- # This unit provides information regarding the NEORV32 processor system configuration -         #
5 18 zero_gravi
-- # mostly derived from the top's configuration generics.                                         #
6 12 zero_gravi
-- # ********************************************************************************************* #
7
-- # BSD 3-Clause License                                                                          #
8
-- #                                                                                               #
9 70 zero_gravi
-- # Copyright (c) 2022, Stephan Nolting. All rights reserved.                                     #
10 12 zero_gravi
-- #                                                                                               #
11
-- # Redistribution and use in source and binary forms, with or without modification, are          #
12
-- # permitted provided that the following conditions are met:                                     #
13
-- #                                                                                               #
14
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
15
-- #    conditions and the following disclaimer.                                                   #
16
-- #                                                                                               #
17
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
18
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
19
-- #    provided with the distribution.                                                            #
20
-- #                                                                                               #
21
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
22
-- #    endorse or promote products derived from this software without specific prior written      #
23
-- #    permission.                                                                                #
24
-- #                                                                                               #
25
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
26
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
27
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
28
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
29
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
30
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
31
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
32
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
33
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
34
-- # ********************************************************************************************* #
35
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
36
-- #################################################################################################
37
 
38
library ieee;
39
use ieee.std_logic_1164.all;
40
use ieee.numeric_std.all;
41
 
42
library neorv32;
43
use neorv32.neorv32_package.all;
44
 
45
entity neorv32_sysinfo is
46
  generic (
47
    -- General --
48 72 zero_gravi
    CLOCK_FREQUENCY      : natural; -- clock frequency of clk_i in Hz
49
    INT_BOOTLOADER_EN    : boolean; -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
50 63 zero_gravi
    -- Physical memory protection (PMP) --
51 72 zero_gravi
    PMP_NUM_REGIONS      : natural; -- number of regions (0..64)
52 23 zero_gravi
    -- Internal Instruction memory --
53 72 zero_gravi
    MEM_INT_IMEM_EN      : boolean; -- implement processor-internal instruction memory
54
    MEM_INT_IMEM_SIZE    : natural; -- size of processor-internal instruction memory in bytes
55 23 zero_gravi
    -- Internal Data memory --
56 72 zero_gravi
    MEM_INT_DMEM_EN      : boolean; -- implement processor-internal data memory
57
    MEM_INT_DMEM_SIZE    : natural; -- size of processor-internal data memory in bytes
58 41 zero_gravi
    -- Internal Cache memory --
59 72 zero_gravi
    ICACHE_EN            : boolean; -- implement instruction cache
60
    ICACHE_NUM_BLOCKS    : natural; -- i-cache: number of blocks (min 2), has to be a power of 2
61
    ICACHE_BLOCK_SIZE    : natural; -- i-cache: block size in bytes (min 4), has to be a power of 2
62
    ICACHE_ASSOCIATIVITY : natural; -- i-cache: associativity (min 1), has to be a power 2
63 23 zero_gravi
    -- External memory interface --
64 72 zero_gravi
    MEM_EXT_EN           : boolean; -- implement external memory bus interface?
65
    MEM_EXT_BIG_ENDIAN   : boolean; -- byte order: true=big-endian, false=little-endian
66 59 zero_gravi
    -- On-Chip Debugger --
67 72 zero_gravi
    ON_CHIP_DEBUGGER_EN  : boolean; -- implement OCD?
68 12 zero_gravi
    -- Processor peripherals --
69 72 zero_gravi
    IO_GPIO_EN           : boolean; -- implement general purpose input/output port unit (GPIO)?
70
    IO_MTIME_EN          : boolean; -- implement machine system timer (MTIME)?
71
    IO_UART0_EN          : boolean; -- implement primary universal asynchronous receiver/transmitter (UART0)?
72
    IO_UART1_EN          : boolean; -- implement secondary universal asynchronous receiver/transmitter (UART1)?
73
    IO_SPI_EN            : boolean; -- implement serial peripheral interface (SPI)?
74
    IO_TWI_EN            : boolean; -- implement two-wire interface (TWI)?
75
    IO_PWM_NUM_CH        : natural; -- number of PWM channels to implement
76
    IO_WDT_EN            : boolean; -- implement watch dog timer (WDT)?
77
    IO_TRNG_EN           : boolean; -- implement true random number generator (TRNG)?
78
    IO_CFS_EN            : boolean; -- implement custom functions subsystem (CFS)?
79
    IO_SLINK_EN          : boolean; -- implement stream link interface?
80
    IO_NEOLED_EN         : boolean; -- implement NeoPixel-compatible smart LED interface (NEOLED)?
81
    IO_XIRQ_NUM_CH       : natural; -- number of external interrupt (XIRQ) channels to implement
82
    IO_GPTMR_EN          : boolean; -- implement general purpose timer (GPTMR)?
83
    IO_XIP_EN            : boolean  -- implement execute in place module (XIP)?
84 12 zero_gravi
  );
85
  port (
86
    -- host access --
87
    clk_i  : in  std_ulogic; -- global clock line
88
    addr_i : in  std_ulogic_vector(31 downto 0); -- address
89
    rden_i : in  std_ulogic; -- read enable
90 70 zero_gravi
    wren_i : in  std_ulogic; -- write enable
91 12 zero_gravi
    data_o : out std_ulogic_vector(31 downto 0); -- data out
92 70 zero_gravi
    ack_o  : out std_ulogic; -- transfer acknowledge
93
    err_o  : out std_ulogic  -- transfer error
94 12 zero_gravi
  );
95
end neorv32_sysinfo;
96
 
97
architecture neorv32_sysinfo_rtl of neorv32_sysinfo is
98
 
99
  -- IO space: module base address --
100
  constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit
101
  constant lo_abb_c : natural := index_size_f(sysinfo_size_c); -- low address boundary bit
102
 
103
  -- access control --
104 70 zero_gravi
  signal acc_en : std_ulogic; -- module access enable
105
  signal rden   : std_ulogic;
106
  signal wren   : std_ulogic;
107 73 zero_gravi
  signal addr   : std_ulogic_vector(2 downto 0);
108 12 zero_gravi
 
109
  -- system information ROM --
110
  type info_mem_t is array (0 to 7) of std_ulogic_vector(31 downto 0);
111
  signal sysinfo_mem : info_mem_t;
112
 
113
begin
114
 
115
  -- Access Control -------------------------------------------------------------------------
116
  -- -------------------------------------------------------------------------------------------
117 70 zero_gravi
  acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = sysinfo_base_c(hi_abb_c downto lo_abb_c)) else '0';
118
  rden   <= acc_en and rden_i; -- read access
119
  wren   <= acc_en and wren_i; -- write access
120 73 zero_gravi
  addr   <= addr_i(index_size_f(sysinfo_size_c)-1 downto 2);
121 12 zero_gravi
 
122
 
123
  -- Construct Info ROM ---------------------------------------------------------------------
124
  -- -------------------------------------------------------------------------------------------
125
  -- SYSINFO(0): Processor (primary) clock frequency --
126
  sysinfo_mem(0) <= std_ulogic_vector(to_unsigned(CLOCK_FREQUENCY, 32));
127
 
128 72 zero_gravi
  -- SYSINFO(1): reserved --
129
  sysinfo_mem(1) <= (others => '0'); -- reserved
130 12 zero_gravi
 
131
  -- SYSINFO(2): Implemented processor devices/features --
132 23 zero_gravi
  -- Memory --
133 61 zero_gravi
  sysinfo_mem(2)(00) <= bool_to_ulogic_f(INT_BOOTLOADER_EN); -- processor-internal bootloader implemented?
134 44 zero_gravi
  sysinfo_mem(2)(01) <= bool_to_ulogic_f(MEM_EXT_EN);        -- external memory bus interface implemented?
135 68 zero_gravi
  sysinfo_mem(2)(02) <= bool_to_ulogic_f(MEM_INT_IMEM_EN) and bool_to_ulogic_f(boolean(MEM_INT_IMEM_SIZE > 0)); -- processor-internal instruction memory implemented?
136
  sysinfo_mem(2)(03) <= bool_to_ulogic_f(MEM_INT_DMEM_EN) and bool_to_ulogic_f(boolean(MEM_INT_DMEM_SIZE > 0)); -- processor-internal data memory implemented?
137 62 zero_gravi
  sysinfo_mem(2)(04) <= bool_to_ulogic_f(MEM_EXT_BIG_ENDIAN); -- is external memory bus interface using BIG-endian byte-order?
138 61 zero_gravi
  sysinfo_mem(2)(05) <= bool_to_ulogic_f(ICACHE_EN);         -- processor-internal instruction cache implemented?
139 23 zero_gravi
  --
140 69 zero_gravi
  sysinfo_mem(2)(12 downto 06) <= (others => '0'); -- reserved
141 57 zero_gravi
  -- Misc --
142 69 zero_gravi
  sysinfo_mem(2)(13) <= bool_to_ulogic_f(is_simulation_c);     -- is this a simulation?
143 59 zero_gravi
  sysinfo_mem(2)(14) <= bool_to_ulogic_f(ON_CHIP_DEBUGGER_EN); -- on-chip debugger implemented?
144
  sysinfo_mem(2)(15) <= bool_to_ulogic_f(dedicated_reset_c);   -- dedicated hardware reset of all core registers?
145 23 zero_gravi
  -- IO --
146 52 zero_gravi
  sysinfo_mem(2)(16) <= bool_to_ulogic_f(IO_GPIO_EN);   -- general purpose input/output port unit (GPIO) implemented?
147
  sysinfo_mem(2)(17) <= bool_to_ulogic_f(IO_MTIME_EN);  -- machine system timer (MTIME) implemented?
148
  sysinfo_mem(2)(18) <= bool_to_ulogic_f(IO_UART0_EN);  -- primary universal asynchronous receiver/transmitter (UART0) implemented?
149
  sysinfo_mem(2)(19) <= bool_to_ulogic_f(IO_SPI_EN);    -- serial peripheral interface (SPI) implemented?
150
  sysinfo_mem(2)(20) <= bool_to_ulogic_f(IO_TWI_EN);    -- two-wire interface (TWI) implemented?
151 60 zero_gravi
  sysinfo_mem(2)(21) <= bool_to_ulogic_f(boolean(IO_PWM_NUM_CH > 0)); -- pulse-width modulation unit (PWM) implemented?
152 52 zero_gravi
  sysinfo_mem(2)(22) <= bool_to_ulogic_f(IO_WDT_EN);    -- watch dog timer (WDT) implemented?
153
  sysinfo_mem(2)(23) <= bool_to_ulogic_f(IO_CFS_EN);    -- custom functions subsystem (CFS) implemented?
154
  sysinfo_mem(2)(24) <= bool_to_ulogic_f(IO_TRNG_EN);   -- true random number generator (TRNG) implemented?
155 61 zero_gravi
  sysinfo_mem(2)(25) <= bool_to_ulogic_f(IO_SLINK_EN);  -- stream links (SLINK) implemented?
156 52 zero_gravi
  sysinfo_mem(2)(26) <= bool_to_ulogic_f(IO_UART1_EN);  -- secondary universal asynchronous receiver/transmitter (UART1) implemented?
157
  sysinfo_mem(2)(27) <= bool_to_ulogic_f(IO_NEOLED_EN); -- NeoPixel-compatible smart LED interface (NEOLED) implemented?
158 61 zero_gravi
  sysinfo_mem(2)(28) <= bool_to_ulogic_f(boolean(IO_XIRQ_NUM_CH > 0)); -- external interrupt controller (XIRQ) implemented?
159 67 zero_gravi
  sysinfo_mem(2)(29) <= bool_to_ulogic_f(IO_GPTMR_EN);  -- general purpose timer (GPTMR) implemented?
160 70 zero_gravi
  sysinfo_mem(2)(30) <= bool_to_ulogic_f(IO_XIP_EN);    -- execute in place module (XIP) implemented?
161 23 zero_gravi
  --
162 70 zero_gravi
  sysinfo_mem(2)(31) <= '0'; -- reserved
163 12 zero_gravi
 
164 41 zero_gravi
  -- SYSINFO(3): Cache configuration --
165 45 zero_gravi
  sysinfo_mem(3)(03 downto 00) <= std_ulogic_vector(to_unsigned(index_size_f(ICACHE_BLOCK_SIZE),    4)) when (ICACHE_EN = true) else (others => '0'); -- i-cache: log2(block_size_in_bytes)
166
  sysinfo_mem(3)(07 downto 04) <= std_ulogic_vector(to_unsigned(index_size_f(ICACHE_NUM_BLOCKS),    4)) when (ICACHE_EN = true) else (others => '0'); -- i-cache: log2(number_of_block)
167 44 zero_gravi
  sysinfo_mem(3)(11 downto 08) <= std_ulogic_vector(to_unsigned(index_size_f(ICACHE_ASSOCIATIVITY), 4)) when (ICACHE_EN = true) else (others => '0'); -- i-cache: log2(associativity)
168 45 zero_gravi
  sysinfo_mem(3)(15 downto 12) <= "0001" when (ICACHE_ASSOCIATIVITY > 1) and (ICACHE_EN = true) else (others => '0'); -- i-cache: replacement strategy (LRU only (yet))
169 41 zero_gravi
  --
170 45 zero_gravi
  sysinfo_mem(3)(19 downto 16) <= (others => '0'); -- reserved - d-cache: log2(block_size)
171
  sysinfo_mem(3)(23 downto 20) <= (others => '0'); -- reserved - d-cache: log2(num_blocks)
172
  sysinfo_mem(3)(27 downto 24) <= (others => '0'); -- reserved - d-cache: log2(associativity)
173
  sysinfo_mem(3)(31 downto 28) <= (others => '0'); -- reserved - d-cache: replacement strategy
174 12 zero_gravi
 
175
  -- SYSINFO(4): Base address of instruction memory space --
176 23 zero_gravi
  sysinfo_mem(4) <= ispace_base_c; -- defined in neorv32_package.vhd file
177 12 zero_gravi
 
178
  -- SYSINFO(5): Base address of data memory space --
179 23 zero_gravi
  sysinfo_mem(5) <= dspace_base_c; -- defined in neorv32_package.vhd file
180 12 zero_gravi
 
181 23 zero_gravi
  -- SYSINFO(6): Size of IMEM in bytes --
182 44 zero_gravi
  sysinfo_mem(6) <= std_ulogic_vector(to_unsigned(MEM_INT_IMEM_SIZE, 32)) when (MEM_INT_IMEM_EN = true) else (others => '0');
183 12 zero_gravi
 
184 23 zero_gravi
  -- SYSINFO(7): Size of DMEM in bytes --
185 44 zero_gravi
  sysinfo_mem(7) <= std_ulogic_vector(to_unsigned(MEM_INT_DMEM_SIZE, 32)) when (MEM_INT_DMEM_EN = true) else (others => '0');
186 12 zero_gravi
 
187
 
188
  -- Read Access ----------------------------------------------------------------------------
189
  -- -------------------------------------------------------------------------------------------
190
  read_access: process(clk_i)
191
  begin
192
    if rising_edge(clk_i) then
193 73 zero_gravi
      ack_o <= rden;
194
      err_o <= wren; -- read-only!
195 12 zero_gravi
      if (rden = '1') then
196 73 zero_gravi
        data_o <= sysinfo_mem(to_integer(unsigned(addr)));
197
      else
198
        data_o <= (others => '0');
199 12 zero_gravi
      end if;
200
    end if;
201
  end process read_access;
202
 
203
 
204
end neorv32_sysinfo_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.