OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_top.vhd] - Blame information for rev 14

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Processor Top Entity >>                                                          #
3
-- # ********************************************************************************************* #
4
-- # This is the top entity of the NEORV32 Processor. Instantiate this unit in your own project    #
5
-- # and define all the configuration generics according to your needs. Alternatively, you can use #
6
-- # one of the alternative top entities provided in the "rtl\top_templates" folder.               #
7
-- # Check the processor's documentary for more information: doc\NEORV32.pdf                       #
8
-- # ********************************************************************************************* #
9
-- # BSD 3-Clause License                                                                          #
10
-- #                                                                                               #
11
-- # Copyright (c) 2020, Stephan Nolting. All rights reserved.                                     #
12
-- #                                                                                               #
13
-- # Redistribution and use in source and binary forms, with or without modification, are          #
14
-- # permitted provided that the following conditions are met:                                     #
15
-- #                                                                                               #
16
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
17
-- #    conditions and the following disclaimer.                                                   #
18
-- #                                                                                               #
19
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
20
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
21
-- #    provided with the distribution.                                                            #
22
-- #                                                                                               #
23
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
24
-- #    endorse or promote products derived from this software without specific prior written      #
25
-- #    permission.                                                                                #
26
-- #                                                                                               #
27
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
28
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
29
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
30
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
31
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
32
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
33
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
34
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
35
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
36
-- # ********************************************************************************************* #
37
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
38
-- #################################################################################################
39
 
40
library ieee;
41
use ieee.std_logic_1164.all;
42
use ieee.numeric_std.all;
43
 
44
library neorv32;
45
use neorv32.neorv32_package.all;
46
 
47
entity neorv32_top is
48
  generic (
49
    -- General --
50 12 zero_gravi
    CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
51 8 zero_gravi
    BOOTLOADER_USE               : boolean := true;   -- implement processor-internal bootloader?
52
    CSR_COUNTERS_USE             : boolean := true;   -- implement RISC-V perf. counters ([m]instret[h], [m]cycle[h], time[h])?
53 12 zero_gravi
    USER_CODE                    : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
54 2 zero_gravi
    -- RISC-V CPU Extensions --
55 11 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
56 8 zero_gravi
    CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
57 11 zero_gravi
    CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement muld/div extension?
58 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
59
    CPU_EXTENSION_RISCV_Zifencei : boolean := true;   -- implement instruction stream sync.?
60 2 zero_gravi
    -- Memory configuration: Instruction memory --
61 8 zero_gravi
    MEM_ISPACE_BASE              : std_ulogic_vector(31 downto 0) := x"00000000"; -- base address of instruction memory space
62
    MEM_ISPACE_SIZE              : natural := 16*1024; -- total size of instruction memory space in byte
63
    MEM_INT_IMEM_USE             : boolean := true;   -- implement processor-internal instruction memory
64
    MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
65
    MEM_INT_IMEM_ROM             : boolean := false;  -- implement processor-internal instruction memory as ROM
66 2 zero_gravi
    -- Memory configuration: Data memory --
67 8 zero_gravi
    MEM_DSPACE_BASE              : std_ulogic_vector(31 downto 0) := x"80000000"; -- base address of data memory space
68
    MEM_DSPACE_SIZE              : natural := 8*1024; -- total size of data memory space in byte
69
    MEM_INT_DMEM_USE             : boolean := true;   -- implement processor-internal data memory
70
    MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
71 2 zero_gravi
    -- Memory configuration: External memory interface --
72 8 zero_gravi
    MEM_EXT_USE                  : boolean := false;  -- implement external memory bus interface?
73
    MEM_EXT_REG_STAGES           : natural := 2;      -- number of interface register stages (0,1,2)
74
    MEM_EXT_TIMEOUT              : natural := 15;     -- cycles after which a valid bus access will timeout
75 2 zero_gravi
    -- Processor peripherals --
76 8 zero_gravi
    IO_GPIO_USE                  : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
77
    IO_MTIME_USE                 : boolean := true;   -- implement machine system timer (MTIME)?
78
    IO_UART_USE                  : boolean := true;   -- implement universal asynchronous receiver/transmitter (UART)?
79
    IO_SPI_USE                   : boolean := true;   -- implement serial peripheral interface (SPI)?
80
    IO_TWI_USE                   : boolean := true;   -- implement two-wire interface (TWI)?
81
    IO_PWM_USE                   : boolean := true;   -- implement pulse-width modulation unit (PWM)?
82
    IO_WDT_USE                   : boolean := true;   -- implement watch dog timer (WDT)?
83
    IO_TRNG_USE                  : boolean := false;  -- implement true random number generator (TRNG)?
84
    IO_DEVNULL_USE               : boolean := true    -- implement dummy device (DEVNULL)?
85 2 zero_gravi
  );
86
  port (
87
    -- Global control --
88
    clk_i      : in  std_ulogic := '0'; -- global clock, rising edge
89
    rstn_i     : in  std_ulogic := '0'; -- global reset, low-active, async
90
    -- Wishbone bus interface (available if MEM_EXT_USE = true) --
91
    wb_adr_o   : out std_ulogic_vector(31 downto 0); -- address
92
    wb_dat_i   : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- read data
93
    wb_dat_o   : out std_ulogic_vector(31 downto 0); -- write data
94
    wb_we_o    : out std_ulogic; -- read/write
95
    wb_sel_o   : out std_ulogic_vector(03 downto 0); -- byte enable
96
    wb_stb_o   : out std_ulogic; -- strobe
97
    wb_cyc_o   : out std_ulogic; -- valid cycle
98
    wb_ack_i   : in  std_ulogic := '0'; -- transfer acknowledge
99
    wb_err_i   : in  std_ulogic := '0'; -- transfer error
100 12 zero_gravi
    -- Advanced memory control signals (available if MEM_EXT_USE = true) --
101
    fence_o    : out std_ulogic; -- indicates an executed FENCE operation
102
    fencei_o   : out std_ulogic; -- indicates an executed FENCEI operation
103 2 zero_gravi
    -- GPIO (available if IO_GPIO_USE = true) --
104
    gpio_o     : out std_ulogic_vector(15 downto 0); -- parallel output
105
    gpio_i     : in  std_ulogic_vector(15 downto 0) := (others => '0'); -- parallel input
106
    -- UART (available if IO_UART_USE = true) --
107
    uart_txd_o : out std_ulogic; -- UART send data
108
    uart_rxd_i : in  std_ulogic := '0'; -- UART receive data
109
    -- SPI (available if IO_SPI_USE = true) --
110 6 zero_gravi
    spi_sck_o  : out std_ulogic; -- SPI serial clock
111
    spi_sdo_o  : out std_ulogic; -- controller data out, peripheral data in
112 14 zero_gravi
    spi_sdi_i  : in  std_ulogic := '0'; -- controller data in, peripheral data out
113 2 zero_gravi
    spi_csn_o  : out std_ulogic_vector(07 downto 0); -- SPI CS
114
    -- TWI (available if IO_TWI_USE = true) --
115
    twi_sda_io : inout std_logic := 'H'; -- twi serial data line
116
    twi_scl_io : inout std_logic := 'H'; -- twi serial clock line
117
    -- PWM (available if IO_PWM_USE = true) --
118 14 zero_gravi
    pwm_o      : out std_ulogic_vector(03 downto 0); -- pwm channels
119
    -- Interrupts --
120
    msw_irq_i  : in  std_ulogic := '0'; -- machine software interrupt
121
    mext_irq_i : in  std_ulogic := '0'  -- machine external interrupt
122 2 zero_gravi
  );
123
end neorv32_top;
124
 
125
architecture neorv32_top_rtl of neorv32_top is
126
 
127 12 zero_gravi
  -- CPU boot address --
128
  constant boot_addr_c : std_ulogic_vector(31 downto 0) := cond_sel_stdulogicvector_f(BOOTLOADER_USE, boot_base_c, MEM_ISPACE_BASE);
129
 
130 2 zero_gravi
  -- reset generator --
131
  signal rstn_i_sync0 : std_ulogic;
132
  signal rstn_i_sync1 : std_ulogic;
133
  signal rstn_i_sync2 : std_ulogic;
134
  signal rstn_gen     : std_ulogic_vector(3 downto 0);
135
  signal ext_rstn     : std_ulogic;
136
  signal sys_rstn     : std_ulogic;
137
  signal wdt_rstn     : std_ulogic;
138
 
139
  -- clock generator --
140
  signal clk_div    : std_ulogic_vector(11 downto 0);
141
  signal clk_div_ff : std_ulogic_vector(11 downto 0);
142
  signal clk_gen    : std_ulogic_vector(07 downto 0);
143
  signal wdt_cg_en  : std_ulogic;
144
  signal uart_cg_en : std_ulogic;
145
  signal spi_cg_en  : std_ulogic;
146
  signal twi_cg_en  : std_ulogic;
147
  signal pwm_cg_en  : std_ulogic;
148
 
149 12 zero_gravi
  -- bus interface --
150
  type bus_interface_t is record
151 11 zero_gravi
    addr   : std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
152
    rdata  : std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
153
    wdata  : std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
154
    ben    : std_ulogic_vector(03 downto 0); -- byte enable
155
    we     : std_ulogic; -- write enable
156
    re     : std_ulogic; -- read enable
157
    cancel : std_ulogic; -- cancel current transfer
158
    ack    : std_ulogic; -- bus transfer acknowledge
159
    err    : std_ulogic; -- bus transfer error
160 12 zero_gravi
    fence  : std_ulogic; -- fence(i) instruction executed
161 11 zero_gravi
  end record;
162 12 zero_gravi
  signal cpu_i, cpu_d, p_bus : bus_interface_t;
163 2 zero_gravi
 
164
  -- io space access --
165
  signal io_acc  : std_ulogic;
166
  signal io_rden : std_ulogic;
167
  signal io_wren : std_ulogic;
168
 
169
  -- read-back busses -
170
  signal imem_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
171
  signal imem_ack       : std_ulogic;
172
  signal dmem_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
173
  signal dmem_ack       : std_ulogic;
174
  signal bootrom_rdata  : std_ulogic_vector(data_width_c-1 downto 0);
175
  signal bootrom_ack    : std_ulogic;
176
  signal wishbone_rdata : std_ulogic_vector(data_width_c-1 downto 0);
177
  signal wishbone_ack   : std_ulogic;
178
  signal wishbone_err   : std_ulogic;
179
  signal gpio_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
180
  signal gpio_ack       : std_ulogic;
181
  signal mtime_rdata    : std_ulogic_vector(data_width_c-1 downto 0);
182
  signal mtime_ack      : std_ulogic;
183
  signal uart_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
184
  signal uart_ack       : std_ulogic;
185
  signal spi_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
186
  signal spi_ack        : std_ulogic;
187
  signal twi_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
188
  signal twi_ack        : std_ulogic;
189
  signal pwm_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
190
  signal pwm_ack        : std_ulogic;
191
  signal wdt_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
192
  signal wdt_ack        : std_ulogic;
193
  signal trng_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
194
  signal trng_ack       : std_ulogic;
195 3 zero_gravi
  signal devnull_rdata  : std_ulogic_vector(data_width_c-1 downto 0);
196
  signal devnull_ack    : std_ulogic;
197 12 zero_gravi
  signal sysinfo_rdata  : std_ulogic_vector(data_width_c-1 downto 0);
198
  signal sysinfo_ack    : std_ulogic;
199 2 zero_gravi
 
200
  -- IRQs --
201
  signal mtime_irq : std_ulogic;
202 14 zero_gravi
  signal fast_irq  : std_ulogic_vector(3 downto 0);
203 2 zero_gravi
  signal gpio_irq  : std_ulogic;
204
  signal wdt_irq   : std_ulogic;
205
  signal uart_irq  : std_ulogic;
206
  signal spi_irq   : std_ulogic;
207
  signal twi_irq   : std_ulogic;
208
 
209 11 zero_gravi
  -- misc --
210
  signal mtime_time : std_ulogic_vector(63 downto 0); -- current system time from MTIME
211
 
212 2 zero_gravi
begin
213
 
214
  -- Sanity Checks --------------------------------------------------------------------------
215
  -- -------------------------------------------------------------------------------------------
216
  sanity_check: process(clk_i)
217
  begin
218
    if rising_edge(clk_i) then
219
      -- internal bootloader memory --
220
      if (BOOTLOADER_USE = true) and (boot_size_c > boot_max_size_c) then
221
        assert false report "NEORV32 CONFIG ERROR! Boot ROM size out of range." severity error;
222
      end if;
223
 
224
      -- memory system - data/instruction fetch --
225
      if (MEM_EXT_USE = false) then
226
        if (MEM_INT_DMEM_USE = false) then
227
          assert false report "NEORV32 CONFIG ERROR! Core cannot fetch data without external memory interface and internal data memory." severity error;
228
        end if;
229
        if (MEM_INT_IMEM_USE = false) and (BOOTLOADER_USE = false) then
230
          assert false report "NEORV32 CONFIG ERROR! Core cannot fetch instructions without external memory interface, internal data memory and bootloader." severity error;
231
        end if;
232
      end if;
233
 
234 12 zero_gravi
      -- memory system --
235 2 zero_gravi
      if (MEM_INT_IMEM_USE = true) and (MEM_INT_IMEM_SIZE > MEM_ISPACE_SIZE) then
236
        assert false report "NEORV32 CONFIG ERROR! Internal instruction memory (IMEM) cannot be greater than total instruction address space." severity error;
237
      end if;
238
      if (MEM_INT_DMEM_USE = true) and (MEM_INT_DMEM_SIZE > MEM_DSPACE_SIZE) then
239
        assert false report "NEORV32 CONFIG ERROR! Internal data memory (DMEM) cannot be greater than total data address space." severity error;
240
      end if;
241 12 zero_gravi
      if (MEM_EXT_TIMEOUT < 1) then
242
        assert false report "NEORV32 CONFIG ERROR! Invalid bus timeout. Processor-internal components have 1 cycle delay." severity error;
243 2 zero_gravi
      end if;
244
 
245
      -- clock --
246
      if (CLOCK_FREQUENCY = 0) then
247
        assert false report "NEORV32 CONFIG ERROR! Core clock frequency (CLOCK_FREQUENCY) not specified." severity error;
248
      end if;
249
 
250
      -- CSR system not implemented --
251
      if (CPU_EXTENSION_RISCV_Zicsr = false) then
252 12 zero_gravi
        assert false report "NEORV32 CONFIG WARNING! No exception/interrupt/machine features available when CPU_EXTENSION_RISCV_Zicsr = false." severity warning;
253 2 zero_gravi
      end if;
254
 
255
      -- memory layout notifier --
256
      if (MEM_ISPACE_BASE /= x"00000000") then
257 12 zero_gravi
        assert false report "NEORV32 CONFIG WARNING! Non-default base address for instruction address space. Make sure this is sync with the software framwork." severity warning;
258 2 zero_gravi
      end if;
259
      if (MEM_DSPACE_BASE /= x"80000000") then
260 12 zero_gravi
        assert false report "NEORV32 CONFIG WARNING! Non-default base address for data address space. Make sure this is sync with the software framwork." severity warning;
261 2 zero_gravi
      end if;
262
    end if;
263
  end process sanity_check;
264
 
265
 
266
  -- Reset Generator ------------------------------------------------------------------------
267
  -- -------------------------------------------------------------------------------------------
268
  reset_generator_sync: process(clk_i)
269
  begin
270
    -- make sure the external reset is free of metastability and has a minimal duration of 1 clock cycle
271
    if rising_edge(clk_i) then
272
      rstn_i_sync0 <= rstn_i;
273
      rstn_i_sync1 <= rstn_i_sync0;
274
      rstn_i_sync2 <= rstn_i_sync1;
275
    end if;
276
  end process reset_generator_sync;
277
 
278
  -- keep internal reset active for at least 4 clock cycles
279
  reset_generator: process(rstn_i_sync1, rstn_i_sync2, clk_i)
280
  begin
281
    if ((rstn_i_sync1 or rstn_i_sync2) = '0') then -- signal stable somehow?
282
      rstn_gen <= (others => '0');
283
    elsif rising_edge(clk_i) then
284
      rstn_gen <= rstn_gen(rstn_gen'left-1 downto 0) & '1';
285
    end if;
286
  end process reset_generator;
287
 
288
  ext_rstn <= rstn_gen(rstn_gen'left); -- the beautified external reset signal
289
  sys_rstn <= ext_rstn and wdt_rstn; -- system reset - can also be triggered by watchdog
290
 
291
 
292
  -- Clock Generator ------------------------------------------------------------------------
293
  -- -------------------------------------------------------------------------------------------
294
  clock_generator: process(sys_rstn, clk_i)
295
  begin
296
    if (sys_rstn = '0') then
297
      clk_div    <= (others => '0');
298
      clk_div_ff <= (others => '0');
299
    elsif rising_edge(clk_i) then
300
      -- anybody wanting some fresh clocks? --
301
      if ((wdt_cg_en or uart_cg_en or spi_cg_en or twi_cg_en or pwm_cg_en) = '1') then
302
        clk_div    <= std_ulogic_vector(unsigned(clk_div) + 1);
303
        clk_div_ff <= clk_div;
304
      end if;
305
    end if;
306
  end process clock_generator;
307
 
308
  -- clock enable select: rising edge detectors --
309
  clk_gen(clk_div2_c)    <= clk_div(0)  and (not clk_div_ff(0));  -- CLK/2
310
  clk_gen(clk_div4_c)    <= clk_div(1)  and (not clk_div_ff(1));  -- CLK/4
311
  clk_gen(clk_div8_c)    <= clk_div(2)  and (not clk_div_ff(2));  -- CLK/8
312
  clk_gen(clk_div64_c)   <= clk_div(5)  and (not clk_div_ff(5));  -- CLK/64
313
  clk_gen(clk_div128_c)  <= clk_div(6)  and (not clk_div_ff(6));  -- CLK/128
314
  clk_gen(clk_div1024_c) <= clk_div(9)  and (not clk_div_ff(9));  -- CLK/1024
315
  clk_gen(clk_div2048_c) <= clk_div(10) and (not clk_div_ff(10)); -- CLK/2048
316
  clk_gen(clk_div4096_c) <= clk_div(11) and (not clk_div_ff(11)); -- CLK/4096
317
 
318
 
319
  -- CPU ------------------------------------------------------------------------------------
320
  -- -------------------------------------------------------------------------------------------
321
  neorv32_cpu_inst: neorv32_cpu
322
  generic map (
323
    -- General --
324 12 zero_gravi
    CSR_COUNTERS_USE             => CSR_COUNTERS_USE, -- implement RISC-V perf. counters ([m]instret[h], [m]cycle[h], time[h])?
325
    HW_THREAD_ID                 => (others => '0'),  -- hardware thread id
326
    CPU_BOOT_ADDR                => boot_addr_c,      -- cpu boot address
327 2 zero_gravi
    -- RISC-V CPU Extensions --
328 8 zero_gravi
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,        -- implement compressed extension?
329
    CPU_EXTENSION_RISCV_E        => CPU_EXTENSION_RISCV_E,        -- implement embedded RF extension?
330
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,        -- implement muld/div extension?
331
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
332
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
333 14 zero_gravi
    -- Bus Interface --
334
    BUS_TIMEOUT                  => MEM_EXT_TIMEOUT   -- cycles after which a valid bus access will timeout
335 2 zero_gravi
  )
336
  port map (
337
    -- global control --
338 12 zero_gravi
    clk_i          => clk_i,        -- global clock, rising edge
339
    rstn_i         => sys_rstn,     -- global reset, low-active, async
340
    -- instruction bus interface --
341
    i_bus_addr_o   => cpu_i.addr,   -- bus access address
342
    i_bus_rdata_i  => cpu_i.rdata,  -- bus read data
343
    i_bus_wdata_o  => cpu_i.wdata,  -- bus write data
344
    i_bus_ben_o    => cpu_i.ben,    -- byte enable
345
    i_bus_we_o     => cpu_i.we,     -- write enable
346
    i_bus_re_o     => cpu_i.re,     -- read enable
347
    i_bus_cancel_o => cpu_i.cancel, -- cancel current bus transaction
348
    i_bus_ack_i    => cpu_i.ack,    -- bus transfer acknowledge
349
    i_bus_err_i    => cpu_i.err,    -- bus transfer error
350
    i_bus_fence_o  => cpu_i.fence,  -- executed FENCEI operation
351
    -- data bus interface --
352
    d_bus_addr_o   => cpu_d.addr,   -- bus access address
353
    d_bus_rdata_i  => cpu_d.rdata,  -- bus read data
354
    d_bus_wdata_o  => cpu_d.wdata,  -- bus write data
355
    d_bus_ben_o    => cpu_d.ben,    -- byte enable
356
    d_bus_we_o     => cpu_d.we,     -- write enable
357
    d_bus_re_o     => cpu_d.re,     -- read enable
358
    d_bus_cancel_o => cpu_d.cancel, -- cancel current bus transaction
359
    d_bus_ack_i    => cpu_d.ack,    -- bus transfer acknowledge
360
    d_bus_err_i    => cpu_d.err,    -- bus transfer error
361
    d_bus_fence_o  => cpu_d.fence,  -- executed FENCE operation
362 11 zero_gravi
    -- system time input from MTIME --
363 12 zero_gravi
    time_i         => mtime_time,   -- current system time
364 14 zero_gravi
    -- interrupts (risc-v compliant) --
365
    msw_irq_i      => msw_irq_i,    -- machine software interrupt
366
    mext_irq_i     => mext_irq_i,   -- machine external interrupt request
367
    mtime_irq_i    => mtime_irq,    -- machine timer interrupt
368
    -- fast interrupts (custom) --
369
    firq_i         => fast_irq
370 2 zero_gravi
  );
371
 
372 14 zero_gravi
  -- advanced memory control --
373
  fence_o  <= cpu_d.fence; -- indicates an executed FENCE operation
374
  fencei_o <= cpu_i.fence; -- indicates an executed FENCEI operation
375 2 zero_gravi
 
376 14 zero_gravi
  -- fast interrupts --
377
  fast_irq(0) <= wdt_irq; -- highest priority
378
  fast_irq(1) <= gpio_irq;
379
  fast_irq(2) <= uart_irq;
380
  fast_irq(3) <= spi_irq or twi_irq; -- lowest priority, can be triggered by SPI or TWI
381
 
382
 
383 12 zero_gravi
  -- CPU Crossbar Switch --------------------------------------------------------------------
384
  -- -------------------------------------------------------------------------------------------
385
  neorv32_busswitch_inst: neorv32_busswitch
386
  generic map (
387
    PORT_CA_READ_ONLY => false, -- set if controller port A is read-only
388
    PORT_CB_READ_ONLY => true   -- set if controller port B is read-only
389
  )
390
  port map (
391
    -- global control --
392
    clk_i           => clk_i,        -- global clock, rising edge
393
    rstn_i          => sys_rstn,     -- global reset, low-active, async
394
    -- controller interface a --
395
    ca_bus_addr_i   => cpu_d.addr,   -- bus access address
396
    ca_bus_rdata_o  => cpu_d.rdata,  -- bus read data
397
    ca_bus_wdata_i  => cpu_d.wdata,  -- bus write data
398
    ca_bus_ben_i    => cpu_d.ben,    -- byte enable
399
    ca_bus_we_i     => cpu_d.we,     -- write enable
400
    ca_bus_re_i     => cpu_d.re,     -- read enable
401
    ca_bus_cancel_i => cpu_d.cancel, -- cancel current bus transaction
402
    ca_bus_ack_o    => cpu_d.ack,    -- bus transfer acknowledge
403
    ca_bus_err_o    => cpu_d.err,    -- bus transfer error
404
    -- controller interface b --
405
    cb_bus_addr_i   => cpu_i.addr,   -- bus access address
406
    cb_bus_rdata_o  => cpu_i.rdata,  -- bus read data
407
    cb_bus_wdata_i  => cpu_i.wdata,  -- bus write data
408
    cb_bus_ben_i    => cpu_i.ben,    -- byte enable
409
    cb_bus_we_i     => cpu_i.we,     -- write enable
410
    cb_bus_re_i     => cpu_i.re,     -- read enable
411
    cb_bus_cancel_i => cpu_i.cancel, -- cancel current bus transaction
412
    cb_bus_ack_o    => cpu_i.ack,    -- bus transfer acknowledge
413
    cb_bus_err_o    => cpu_i.err,    -- bus transfer error
414
    -- peripheral bus --
415
    p_bus_addr_o    => p_bus.addr,   -- bus access address
416
    p_bus_rdata_i   => p_bus.rdata,  -- bus read data
417
    p_bus_wdata_o   => p_bus.wdata,  -- bus write data
418
    p_bus_ben_o     => p_bus.ben,    -- byte enable
419
    p_bus_we_o      => p_bus.we,     -- write enable
420
    p_bus_re_o      => p_bus.re,     -- read enable
421
    p_bus_cancel_o  => p_bus.cancel, -- cancel current bus transaction
422
    p_bus_ack_i     => p_bus.ack,    -- bus transfer acknowledge
423
    p_bus_err_i     => p_bus.err     -- bus transfer error
424
  );
425 2 zero_gravi
 
426 14 zero_gravi
  -- processor bus: CPU data input --
427 12 zero_gravi
  p_bus.rdata <= (imem_rdata or dmem_rdata or bootrom_rdata) or wishbone_rdata or (gpio_rdata or mtime_rdata or uart_rdata or
428 14 zero_gravi
                 spi_rdata or twi_rdata or pwm_rdata or wdt_rdata or trng_rdata or devnull_rdata or sysinfo_rdata);
429 2 zero_gravi
 
430 14 zero_gravi
  -- processor bus: CPU data ACK input --
431 12 zero_gravi
  p_bus.ack <= (imem_ack or dmem_ack or bootrom_ack) or wishbone_ack or (gpio_ack or mtime_ack or uart_ack or
432 14 zero_gravi
               spi_ack or twi_ack or pwm_ack or wdt_ack or trng_ack or devnull_ack or sysinfo_ack);
433 12 zero_gravi
 
434 14 zero_gravi
  -- processor bus: CPU data bus error input --
435 12 zero_gravi
  p_bus.err <= wishbone_err;
436
 
437
 
438 2 zero_gravi
  -- Processor-Internal Instruction Memory (IMEM) -------------------------------------------
439
  -- -------------------------------------------------------------------------------------------
440
  neorv32_int_imem_inst_true:
441
  if (MEM_INT_IMEM_USE = true) generate
442
    neorv32_int_imem_inst: neorv32_imem
443
    generic map (
444
      IMEM_BASE      => MEM_ISPACE_BASE,   -- memory base address
445
      IMEM_SIZE      => MEM_INT_IMEM_SIZE, -- processor-internal instruction memory size in bytes
446
      IMEM_AS_ROM    => MEM_INT_IMEM_ROM,  -- implement IMEM as read-only memory?
447
      BOOTLOADER_USE => BOOTLOADER_USE     -- implement and use bootloader?
448
    )
449
    port map (
450 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
451
      rden_i => p_bus.re,    -- read enable
452
      wren_i => p_bus.we,    -- write enable
453
      ben_i  => p_bus.ben,   -- byte write enable
454
      upen_i => '1',         -- update enable
455
      addr_i => p_bus.addr,  -- address
456
      data_i => p_bus.wdata, -- data in
457
      data_o => imem_rdata,  -- data out
458
      ack_o  => imem_ack     -- transfer acknowledge
459 2 zero_gravi
    );
460
  end generate;
461
 
462
  neorv32_int_imem_inst_false:
463
  if (MEM_INT_IMEM_USE = false) generate
464
    imem_rdata <= (others => '0');
465
    imem_ack   <= '0';
466
  end generate;
467
 
468
 
469
  -- Processor-Internal Data Memory (DMEM) --------------------------------------------------
470
  -- -------------------------------------------------------------------------------------------
471
  neorv32_int_dmem_inst_true:
472
  if (MEM_INT_DMEM_USE = true) generate
473
    neorv32_int_dmem_inst: neorv32_dmem
474
    generic map (
475
      DMEM_BASE => MEM_DSPACE_BASE,  -- memory base address
476
      DMEM_SIZE => MEM_INT_DMEM_SIZE -- processor-internal data memory size in bytes
477
    )
478
    port map (
479 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
480
      rden_i => p_bus.re,    -- read enable
481
      wren_i => p_bus.we,    -- write enable
482
      ben_i  => p_bus.ben,   -- byte write enable
483
      addr_i => p_bus.addr,  -- address
484
      data_i => p_bus.wdata, -- data in
485
      data_o => dmem_rdata,  -- data out
486
      ack_o  => dmem_ack     -- transfer acknowledge
487 2 zero_gravi
    );
488
  end generate;
489
 
490
  neorv32_int_dmem_inst_false:
491
  if (MEM_INT_DMEM_USE = false) generate
492
    dmem_rdata <= (others => '0');
493
    dmem_ack   <= '0';
494
  end generate;
495
 
496
 
497
  -- Processor-Internal Bootloader ROM (BOOTROM) --------------------------------------------
498
  -- -------------------------------------------------------------------------------------------
499
  neorv32_boot_rom_inst_true:
500
  if (BOOTLOADER_USE = true) generate
501
    neorv32_boot_rom_inst: neorv32_boot_rom
502
    port map (
503
      clk_i  => clk_i,         -- global clock line
504 12 zero_gravi
      rden_i => p_bus.re,      -- read enable
505
      addr_i => p_bus.addr,    -- address
506 2 zero_gravi
      data_o => bootrom_rdata, -- data out
507
      ack_o  => bootrom_ack    -- transfer acknowledge
508
    );
509
  end generate;
510
 
511
  neorv32_boot_rom_inst_false:
512
  if (BOOTLOADER_USE = false) generate
513
    bootrom_rdata <= (others => '0');
514
    bootrom_ack   <= '0';
515
  end generate;
516
 
517
 
518
  -- External Wishbone Gateway (WISHBONE) ---------------------------------------------------
519
  -- -------------------------------------------------------------------------------------------
520
  neorv32_wishbone_inst_true:
521
  if (MEM_EXT_USE = true) generate
522
    neorv32_wishbone_inst: neorv32_wishbone
523
    generic map (
524
      INTERFACE_REG_STAGES => MEM_EXT_REG_STAGES, -- number of interface register stages (0,1,2)
525
      -- Memory configuration: Instruction memory --
526 12 zero_gravi
      MEM_ISPACE_BASE      => MEM_ISPACE_BASE,    -- base address of instruction memory space
527
      MEM_ISPACE_SIZE      => MEM_ISPACE_SIZE,    -- total size of instruction memory space in byte
528
      MEM_INT_IMEM_USE     => MEM_INT_IMEM_USE,   -- implement processor-internal instruction memory
529
      MEM_INT_IMEM_SIZE    => MEM_INT_IMEM_SIZE,  -- size of processor-internal instruction memory in bytes
530 2 zero_gravi
      -- Memory configuration: Data memory --
531 12 zero_gravi
      MEM_DSPACE_BASE      => MEM_DSPACE_BASE,    -- base address of data memory space
532
      MEM_DSPACE_SIZE      => MEM_DSPACE_SIZE,    -- total size of data memory space in byte
533
      MEM_INT_DMEM_USE     => MEM_INT_DMEM_USE,   -- implement processor-internal data memory
534
      MEM_INT_DMEM_SIZE    => MEM_INT_DMEM_SIZE   -- size of processor-internal data memory in bytes
535 2 zero_gravi
    )
536
    port map (
537
      -- global control --
538
      clk_i    => clk_i,          -- global clock line
539
      rstn_i   => sys_rstn,       -- global reset line, low-active
540
      -- host access --
541 12 zero_gravi
      addr_i   => p_bus.addr,     -- address
542
      rden_i   => p_bus.re,       -- read enable
543
      wren_i   => p_bus.we,       -- write enable
544
      ben_i    => p_bus.ben,      -- byte write enable
545
      data_i   => p_bus.wdata,    -- data in
546 2 zero_gravi
      data_o   => wishbone_rdata, -- data out
547 12 zero_gravi
      cancel_i => p_bus.cancel,   -- cancel current transaction
548 2 zero_gravi
      ack_o    => wishbone_ack,   -- transfer acknowledge
549
      err_o    => wishbone_err,   -- transfer error
550
      -- wishbone interface --
551
      wb_adr_o => wb_adr_o,       -- address
552
      wb_dat_i => wb_dat_i,       -- read data
553
      wb_dat_o => wb_dat_o,       -- write data
554
      wb_we_o  => wb_we_o,        -- read/write
555
      wb_sel_o => wb_sel_o,       -- byte enable
556
      wb_stb_o => wb_stb_o,       -- strobe
557
      wb_cyc_o => wb_cyc_o,       -- valid cycle
558
      wb_ack_i => wb_ack_i,       -- transfer acknowledge
559
      wb_err_i => wb_err_i        -- transfer error
560
    );
561
  end generate;
562
 
563
  neorv32_wishbone_inst_false:
564
  if (MEM_EXT_USE = false) generate
565
    wishbone_rdata <= (others => '0');
566
    wishbone_ack   <= '0';
567
    wishbone_err   <= '0';
568
    --
569
    wb_adr_o <= (others => '0');
570
    wb_dat_o <= (others => '0');
571
    wb_we_o  <= '0';
572
    wb_sel_o <= (others => '0');
573
    wb_stb_o <= '0';
574
    wb_cyc_o <= '0';
575
  end generate;
576
 
577
 
578
  -- IO Access? -----------------------------------------------------------------------------
579
  -- -------------------------------------------------------------------------------------------
580 12 zero_gravi
  io_acc  <= '1' when (p_bus.addr(data_width_c-1 downto index_size_f(io_size_c)) = io_base_c(data_width_c-1 downto index_size_f(io_size_c))) else '0';
581
  io_rden <= io_acc and p_bus.re;
582
  io_wren <= io_acc and p_bus.we;
583 2 zero_gravi
 
584
 
585
  -- General Purpose Input/Output Port (GPIO) -----------------------------------------------
586
  -- -------------------------------------------------------------------------------------------
587
  neorv32_gpio_inst_true:
588
  if (IO_GPIO_USE = true) generate
589
    neorv32_gpio_inst: neorv32_gpio
590
    port map (
591
      -- host access --
592 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
593
      addr_i => p_bus.addr,  -- address
594
      rden_i => io_rden,     -- read enable
595
      wren_i => io_wren,     -- write enable
596
      ben_i  => p_bus.ben,   -- byte write enable
597
      data_i => p_bus.wdata, -- data in
598
      data_o => gpio_rdata,  -- data out
599
      ack_o  => gpio_ack,    -- transfer acknowledge
600 2 zero_gravi
      -- parallel io --
601
      gpio_o => gpio_o,
602
      gpio_i => gpio_i,
603
      -- interrupt --
604 12 zero_gravi
      irq_o  => gpio_irq     -- pin-change interrupt
605 2 zero_gravi
    );
606
  end generate;
607
 
608
  neorv32_gpio_inst_false:
609
  if (IO_GPIO_USE = false) generate
610
    gpio_rdata <= (others => '0');
611
    gpio_ack   <= '0';
612
    gpio_o     <= (others => '0');
613
    gpio_irq   <= '0';
614
  end generate;
615
 
616
 
617
  -- Watch Dog Timer (WDT) ------------------------------------------------------------------
618
  -- -------------------------------------------------------------------------------------------
619
  neorv32_wdt_inst_true:
620
  if (IO_WDT_USE = true) generate
621
    neorv32_wdt_inst: neorv32_wdt
622
    port map (
623
      -- host access --
624 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
625
      rstn_i      => ext_rstn,    -- global reset line, low-active
626
      rden_i      => io_rden,     -- read enable
627
      wren_i      => io_wren,     -- write enable
628
      ben_i       => p_bus.ben,   -- byte write enable
629
      addr_i      => p_bus.addr,  -- address
630
      data_i      => p_bus.wdata, -- data in
631
      data_o      => wdt_rdata,   -- data out
632
      ack_o       => wdt_ack,     -- transfer acknowledge
633 2 zero_gravi
      -- clock generator --
634 12 zero_gravi
      clkgen_en_o => wdt_cg_en,   -- enable clock generator
635 2 zero_gravi
      clkgen_i    => clk_gen,
636
      -- timeout event --
637 12 zero_gravi
      irq_o       => wdt_irq,     -- timeout IRQ
638
      rstn_o      => wdt_rstn     -- timeout reset, low_active, use it as async!
639 2 zero_gravi
    );
640
  end generate;
641
 
642
  neorv32_wdt_inst_false:
643
  if (IO_WDT_USE = false) generate
644
    wdt_rdata <= (others => '0');
645
    wdt_ack   <= '0';
646
    wdt_irq   <= '0';
647
    wdt_rstn  <= '1';
648
    wdt_cg_en <= '0';
649
  end generate;
650
 
651
 
652
  -- Machine System Timer (MTIME) -----------------------------------------------------------
653
  -- -------------------------------------------------------------------------------------------
654
  neorv32_mtime_inst_true:
655
  if (IO_MTIME_USE = true) generate
656
    neorv32_mtime_inst: neorv32_mtime
657
    port map (
658
      -- host access --
659 12 zero_gravi
      clk_i     => clk_i,       -- global clock line
660
      rstn_i    => sys_rstn,    -- global reset, low-active, async
661
      addr_i    => p_bus.addr,  -- address
662
      rden_i    => io_rden,     -- read enable
663
      wren_i    => io_wren,     -- write enable
664
      ben_i     => p_bus.ben,   -- byte write enable
665
      data_i    => p_bus.wdata, -- data in
666
      data_o    => mtime_rdata, -- data out
667
      ack_o     => mtime_ack,   -- transfer acknowledge
668 11 zero_gravi
      -- time output for CPU --
669 12 zero_gravi
      time_o    => mtime_time,  -- current system time
670 2 zero_gravi
      -- interrupt --
671 12 zero_gravi
      irq_o     => mtime_irq    -- interrupt request
672 2 zero_gravi
    );
673
  end generate;
674
 
675
  neorv32_mtime_inst_false:
676
  if (IO_MTIME_USE = false) generate
677
    mtime_rdata <= (others => '0');
678 11 zero_gravi
    mtime_time  <= (others => '0');
679 2 zero_gravi
    mtime_ack   <= '0';
680
    mtime_irq   <= '0';
681
  end generate;
682
 
683
 
684
  -- Universal Asynchronous Receiver/Transmitter (UART) -------------------------------------
685
  -- -------------------------------------------------------------------------------------------
686
  neorv32_uart_inst_true:
687
  if (IO_UART_USE = true) generate
688
    neorv32_uart_inst: neorv32_uart
689
    port map (
690
      -- host access --
691 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
692
      addr_i      => p_bus.addr,  -- address
693
      rden_i      => io_rden,     -- read enable
694
      wren_i      => io_wren,     -- write enable
695
      ben_i       => p_bus.ben,   -- byte write enable
696
      data_i      => p_bus.wdata, -- data in
697
      data_o      => uart_rdata,  -- data out
698
      ack_o       => uart_ack,    -- transfer acknowledge
699 2 zero_gravi
      -- clock generator --
700 12 zero_gravi
      clkgen_en_o => uart_cg_en,  -- enable clock generator
701 2 zero_gravi
      clkgen_i    => clk_gen,
702
      -- com lines --
703
      uart_txd_o  => uart_txd_o,
704
      uart_rxd_i  => uart_rxd_i,
705
      -- interrupts --
706 12 zero_gravi
      uart_irq_o  => uart_irq     -- uart rx/tx interrupt
707 2 zero_gravi
    );
708
  end generate;
709
 
710
  neorv32_uart_inst_false:
711
  if (IO_UART_USE = false) generate
712
    uart_rdata <= (others => '0');
713
    uart_ack   <= '0';
714
    uart_txd_o <= '0';
715
    uart_cg_en <= '0';
716
    uart_irq   <= '0';
717
  end generate;
718
 
719
 
720
  -- Serial Peripheral Interface (SPI) ------------------------------------------------------
721
  -- -------------------------------------------------------------------------------------------
722
  neorv32_spi_inst_true:
723
  if (IO_SPI_USE = true) generate
724
    neorv32_spi_inst: neorv32_spi
725
    port map (
726
      -- host access --
727 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
728
      addr_i      => p_bus.addr,  -- address
729
      rden_i      => io_rden,     -- read enable
730
      wren_i      => io_wren,     -- write enable
731
      ben_i       => p_bus.ben,   -- byte write enable
732
      data_i      => p_bus.wdata, -- data in
733
      data_o      => spi_rdata,   -- data out
734
      ack_o       => spi_ack,     -- transfer acknowledge
735 2 zero_gravi
      -- clock generator --
736 12 zero_gravi
      clkgen_en_o => spi_cg_en,   -- enable clock generator
737 2 zero_gravi
      clkgen_i    => clk_gen,
738
      -- com lines --
739 12 zero_gravi
      spi_sck_o   => spi_sck_o,   -- SPI serial clock
740
      spi_sdo_o   => spi_sdo_o,   -- controller data out, peripheral data in
741
      spi_sdi_i   => spi_sdi_i,   -- controller data in, peripheral data out
742
      spi_csn_o   => spi_csn_o,   -- SPI CS
743 2 zero_gravi
      -- interrupt --
744 12 zero_gravi
      spi_irq_o   => spi_irq      -- transmission done interrupt
745 2 zero_gravi
    );
746
  end generate;
747
 
748
  neorv32_spi_inst_false:
749
  if (IO_SPI_USE = false) generate
750
    spi_rdata  <= (others => '0');
751
    spi_ack    <= '0';
752 6 zero_gravi
    spi_sck_o  <= '0';
753
    spi_sdo_o  <= '0';
754 2 zero_gravi
    spi_csn_o  <= (others => '1'); -- CSn lines are low-active
755
    spi_cg_en  <= '0';
756
    spi_irq    <= '0';
757
  end generate;
758
 
759
 
760
  -- Two-Wire Interface (TWI) ---------------------------------------------------------------
761
  -- -------------------------------------------------------------------------------------------
762
  neorv32_twi_inst_true:
763
  if (IO_TWI_USE = true) generate
764
    neorv32_twi_inst: neorv32_twi
765
    port map (
766
      -- host access --
767 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
768
      addr_i      => p_bus.addr,  -- address
769
      rden_i      => io_rden,     -- read enable
770
      wren_i      => io_wren,     -- write enable
771
      ben_i       => p_bus.ben,   -- byte write enable
772
      data_i      => p_bus.wdata, -- data in
773
      data_o      => twi_rdata,   -- data out
774
      ack_o       => twi_ack,     -- transfer acknowledge
775 2 zero_gravi
      -- clock generator --
776 12 zero_gravi
      clkgen_en_o => twi_cg_en,   -- enable clock generator
777 2 zero_gravi
      clkgen_i    => clk_gen,
778
      -- com lines --
779 12 zero_gravi
      twi_sda_io  => twi_sda_io,  -- serial data line
780
      twi_scl_io  => twi_scl_io,  -- serial clock line
781 2 zero_gravi
      -- interrupt --
782 12 zero_gravi
      twi_irq_o   => twi_irq      -- transfer done IRQ
783 2 zero_gravi
    );
784
  end generate;
785
 
786
  neorv32_twi_inst_false:
787
  if (IO_TWI_USE = false) generate
788
    twi_rdata  <= (others => '0');
789
    twi_ack    <= '0';
790
--  twi_sda_io <= 'H';
791
--  twi_scl_io <= 'H';
792
    twi_cg_en  <= '0';
793
    twi_irq    <= '0';
794
  end generate;
795
 
796
 
797
  -- Pulse-Width Modulation Controller (PWM) ------------------------------------------------
798
  -- -------------------------------------------------------------------------------------------
799
  neorv32_pwm_inst_true:
800
  if (IO_PWM_USE = true) generate
801
    neorv32_pwm_inst: neorv32_pwm
802
    port map (
803
      -- host access --
804 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
805
      addr_i      => p_bus.addr,  -- address
806
      rden_i      => io_rden,     -- read enable
807
      wren_i      => io_wren,     -- write enable
808
      ben_i       => p_bus.ben,   -- byte write enable
809
      data_i      => p_bus.wdata, -- data in
810
      data_o      => pwm_rdata,   -- data out
811
      ack_o       => pwm_ack,     -- transfer acknowledge
812 2 zero_gravi
      -- clock generator --
813 12 zero_gravi
      clkgen_en_o => pwm_cg_en,   -- enable clock generator
814 2 zero_gravi
      clkgen_i    => clk_gen,
815
      -- pwm output channels --
816
      pwm_o       => pwm_o
817
    );
818
  end generate;
819
 
820
  neorv32_pwm_inst_false:
821
  if (IO_PWM_USE = false) generate
822
    pwm_rdata <= (others => '0');
823
    pwm_ack   <= '0';
824
    pwm_cg_en <= '0';
825
    pwm_o     <= (others => '0');
826
  end generate;
827
 
828
 
829
  -- True Random Number Generator (TRNG) ----------------------------------------------------
830
  -- -------------------------------------------------------------------------------------------
831
  neorv32_trng_inst_true:
832
  if (IO_TRNG_USE = true) generate
833
    neorv32_trng_inst: neorv32_trng
834
    port map (
835
      -- host access --
836 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
837
      addr_i => p_bus.addr,  -- address
838
      rden_i => io_rden,     -- read enable
839
      wren_i => io_wren,     -- write enable
840
      ben_i  => p_bus.ben,   -- byte write enable
841
      data_i => p_bus.wdata, -- data in
842
      data_o => trng_rdata,  -- data out
843
      ack_o  => trng_ack     -- transfer acknowledge
844 2 zero_gravi
    );
845
  end generate;
846
 
847
  neorv32_trng_inst_false:
848
  if (IO_TRNG_USE = false) generate
849
    trng_rdata <= (others => '0');
850
    trng_ack   <= '0';
851
  end generate;
852
 
853
 
854 3 zero_gravi
  -- Dummy Device (DEVNULL) -----------------------------------------------------------------
855
  -- -------------------------------------------------------------------------------------------
856
  neorv32_devnull_inst_true:
857
  if (IO_DEVNULL_USE = true) generate
858
    neorv32_devnull_inst: neorv32_devnull
859
    port map (
860
      -- host access --
861
      clk_i  => clk_i,         -- global clock line
862 12 zero_gravi
      addr_i => p_bus.addr,    -- address
863 3 zero_gravi
      rden_i => io_rden,       -- read enable
864
      wren_i => io_wren,       -- write enable
865 12 zero_gravi
      ben_i  => p_bus.ben,     -- byte write enable
866
      data_i => p_bus.wdata,   -- data in
867 3 zero_gravi
      data_o => devnull_rdata, -- data out
868
      ack_o  => devnull_ack    -- transfer acknowledge
869
    );
870
  end generate;
871 12 zero_gravi
 
872 3 zero_gravi
  neorv32_devnull_inst_false:
873
  if (IO_DEVNULL_USE = false) generate
874
    devnull_rdata <= (others => '0');
875
    devnull_ack   <= '0';
876
  end generate;
877
 
878
 
879 12 zero_gravi
  -- System Configuration Information Memory (SYSINFO) --------------------------------------
880
  -- -------------------------------------------------------------------------------------------
881
  neorv32_sysinfo_inst: neorv32_sysinfo
882
  generic map (
883
    -- General --
884
    CLOCK_FREQUENCY   => CLOCK_FREQUENCY,   -- clock frequency of clk_i in Hz
885
    BOOTLOADER_USE    => BOOTLOADER_USE,    -- implement processor-internal bootloader?
886
    USER_CODE         => USER_CODE,         -- custom user code
887
    -- Memory configuration: Instruction memory --
888
    MEM_ISPACE_BASE   => MEM_ISPACE_BASE,   -- base address of instruction memory space
889
    MEM_ISPACE_SIZE   => MEM_ISPACE_SIZE,   -- total size of instruction memory space in byte
890
    MEM_INT_IMEM_USE  => MEM_INT_IMEM_USE,  -- implement processor-internal instruction memory
891
    MEM_INT_IMEM_SIZE => MEM_INT_IMEM_SIZE, -- size of processor-internal instruction memory in bytes
892
    MEM_INT_IMEM_ROM  => MEM_INT_IMEM_ROM,  -- implement processor-internal instruction memory as ROM
893
    -- Memory configuration: Data memory --
894
    MEM_DSPACE_BASE   => MEM_DSPACE_BASE,   -- base address of data memory space
895
    MEM_DSPACE_SIZE   => MEM_DSPACE_SIZE,   -- total size of data memory space in byte
896
    MEM_INT_DMEM_USE  => MEM_INT_DMEM_USE,  -- implement processor-internal data memory
897
    MEM_INT_DMEM_SIZE => MEM_INT_DMEM_SIZE, -- size of processor-internal data memory in bytes
898
    -- Memory configuration: External memory interface --
899
    MEM_EXT_USE       => MEM_EXT_USE,       -- implement external memory bus interface?
900
    -- Processor peripherals --
901
    IO_GPIO_USE       => IO_GPIO_USE,       -- implement general purpose input/output port unit (GPIO)?
902
    IO_MTIME_USE      => IO_MTIME_USE,      -- implement machine system timer (MTIME)?
903
    IO_UART_USE       => IO_UART_USE,       -- implement universal asynchronous receiver/transmitter (UART)?
904
    IO_SPI_USE        => IO_SPI_USE,        -- implement serial peripheral interface (SPI)?
905
    IO_TWI_USE        => IO_TWI_USE,        -- implement two-wire interface (TWI)?
906
    IO_PWM_USE        => IO_PWM_USE,        -- implement pulse-width modulation unit (PWM)?
907
    IO_WDT_USE        => IO_WDT_USE,        -- implement watch dog timer (WDT)?
908
    IO_TRNG_USE       => IO_TRNG_USE,       -- implement true random number generator (TRNG)?
909
    IO_DEVNULL_USE    => IO_DEVNULL_USE     -- implement dummy device (DEVNULL)?
910
  )
911
  port map (
912
    -- host access --
913
    clk_i  => clk_i,         -- global clock line
914
    addr_i => p_bus.addr,    -- address
915
    rden_i => io_rden,       -- read enable
916
    data_o => sysinfo_rdata, -- data out
917
    ack_o  => sysinfo_ack    -- transfer acknowledge
918
  );
919
 
920
 
921 2 zero_gravi
end neorv32_top_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.