OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_top.vhd] - Blame information for rev 18

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Processor Top Entity >>                                                          #
3
-- # ********************************************************************************************* #
4 18 zero_gravi
-- # This is the top entity of the NEORV32 PROCESSOR. Instantiate this unit in your own project    #
5 2 zero_gravi
-- # and define all the configuration generics according to your needs. Alternatively, you can use #
6 18 zero_gravi
-- # one of the alternative top entities provided in the "rtl/top_templates" folder.               #
7
-- #                                                                                               #
8
-- # Check the processor's documentary for more information: docs/NEORV32.pdf                      #
9 2 zero_gravi
-- # ********************************************************************************************* #
10
-- # BSD 3-Clause License                                                                          #
11
-- #                                                                                               #
12
-- # Copyright (c) 2020, Stephan Nolting. All rights reserved.                                     #
13
-- #                                                                                               #
14
-- # Redistribution and use in source and binary forms, with or without modification, are          #
15
-- # permitted provided that the following conditions are met:                                     #
16
-- #                                                                                               #
17
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
18
-- #    conditions and the following disclaimer.                                                   #
19
-- #                                                                                               #
20
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
21
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
22
-- #    provided with the distribution.                                                            #
23
-- #                                                                                               #
24
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
25
-- #    endorse or promote products derived from this software without specific prior written      #
26
-- #    permission.                                                                                #
27
-- #                                                                                               #
28
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
29
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
30
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
31
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
32
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
33
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
34
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
35
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
36
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
37
-- # ********************************************************************************************* #
38
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
39
-- #################################################################################################
40
 
41
library ieee;
42
use ieee.std_logic_1164.all;
43
use ieee.numeric_std.all;
44
 
45
library neorv32;
46
use neorv32.neorv32_package.all;
47
 
48
entity neorv32_top is
49
  generic (
50
    -- General --
51 12 zero_gravi
    CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
52 8 zero_gravi
    BOOTLOADER_USE               : boolean := true;   -- implement processor-internal bootloader?
53
    CSR_COUNTERS_USE             : boolean := true;   -- implement RISC-V perf. counters ([m]instret[h], [m]cycle[h], time[h])?
54 12 zero_gravi
    USER_CODE                    : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
55 2 zero_gravi
    -- RISC-V CPU Extensions --
56 11 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
57 8 zero_gravi
    CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
58 11 zero_gravi
    CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement muld/div extension?
59 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
60 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
61
    CPU_EXTENSION_RISCV_Zifencei : boolean := true;   -- implement instruction stream sync.?
62 15 zero_gravi
    -- Physical Memory Protection (PMP) --
63
    PMP_USE                      : boolean := false; -- implement PMP?
64 16 zero_gravi
    PMP_NUM_REGIONS              : natural := 4;     -- number of regions (max 8)
65
    PMP_GRANULARITY              : natural := 14;    -- minimal region granularity (1=8B, 2=16B, 3=32B, ...) default is 64k
66 2 zero_gravi
    -- Memory configuration: Instruction memory --
67 8 zero_gravi
    MEM_ISPACE_BASE              : std_ulogic_vector(31 downto 0) := x"00000000"; -- base address of instruction memory space
68
    MEM_ISPACE_SIZE              : natural := 16*1024; -- total size of instruction memory space in byte
69
    MEM_INT_IMEM_USE             : boolean := true;   -- implement processor-internal instruction memory
70
    MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
71
    MEM_INT_IMEM_ROM             : boolean := false;  -- implement processor-internal instruction memory as ROM
72 2 zero_gravi
    -- Memory configuration: Data memory --
73 8 zero_gravi
    MEM_DSPACE_BASE              : std_ulogic_vector(31 downto 0) := x"80000000"; -- base address of data memory space
74
    MEM_DSPACE_SIZE              : natural := 8*1024; -- total size of data memory space in byte
75
    MEM_INT_DMEM_USE             : boolean := true;   -- implement processor-internal data memory
76
    MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
77 2 zero_gravi
    -- Memory configuration: External memory interface --
78 8 zero_gravi
    MEM_EXT_USE                  : boolean := false;  -- implement external memory bus interface?
79
    MEM_EXT_REG_STAGES           : natural := 2;      -- number of interface register stages (0,1,2)
80
    MEM_EXT_TIMEOUT              : natural := 15;     -- cycles after which a valid bus access will timeout
81 2 zero_gravi
    -- Processor peripherals --
82 8 zero_gravi
    IO_GPIO_USE                  : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
83
    IO_MTIME_USE                 : boolean := true;   -- implement machine system timer (MTIME)?
84
    IO_UART_USE                  : boolean := true;   -- implement universal asynchronous receiver/transmitter (UART)?
85
    IO_SPI_USE                   : boolean := true;   -- implement serial peripheral interface (SPI)?
86
    IO_TWI_USE                   : boolean := true;   -- implement two-wire interface (TWI)?
87
    IO_PWM_USE                   : boolean := true;   -- implement pulse-width modulation unit (PWM)?
88
    IO_WDT_USE                   : boolean := true;   -- implement watch dog timer (WDT)?
89
    IO_TRNG_USE                  : boolean := false;  -- implement true random number generator (TRNG)?
90
    IO_DEVNULL_USE               : boolean := true    -- implement dummy device (DEVNULL)?
91 2 zero_gravi
  );
92
  port (
93
    -- Global control --
94
    clk_i      : in  std_ulogic := '0'; -- global clock, rising edge
95
    rstn_i     : in  std_ulogic := '0'; -- global reset, low-active, async
96
    -- Wishbone bus interface (available if MEM_EXT_USE = true) --
97
    wb_adr_o   : out std_ulogic_vector(31 downto 0); -- address
98
    wb_dat_i   : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- read data
99
    wb_dat_o   : out std_ulogic_vector(31 downto 0); -- write data
100
    wb_we_o    : out std_ulogic; -- read/write
101
    wb_sel_o   : out std_ulogic_vector(03 downto 0); -- byte enable
102
    wb_stb_o   : out std_ulogic; -- strobe
103
    wb_cyc_o   : out std_ulogic; -- valid cycle
104
    wb_ack_i   : in  std_ulogic := '0'; -- transfer acknowledge
105
    wb_err_i   : in  std_ulogic := '0'; -- transfer error
106 12 zero_gravi
    -- Advanced memory control signals (available if MEM_EXT_USE = true) --
107
    fence_o    : out std_ulogic; -- indicates an executed FENCE operation
108
    fencei_o   : out std_ulogic; -- indicates an executed FENCEI operation
109 2 zero_gravi
    -- GPIO (available if IO_GPIO_USE = true) --
110
    gpio_o     : out std_ulogic_vector(15 downto 0); -- parallel output
111
    gpio_i     : in  std_ulogic_vector(15 downto 0) := (others => '0'); -- parallel input
112
    -- UART (available if IO_UART_USE = true) --
113
    uart_txd_o : out std_ulogic; -- UART send data
114
    uart_rxd_i : in  std_ulogic := '0'; -- UART receive data
115
    -- SPI (available if IO_SPI_USE = true) --
116 6 zero_gravi
    spi_sck_o  : out std_ulogic; -- SPI serial clock
117
    spi_sdo_o  : out std_ulogic; -- controller data out, peripheral data in
118 14 zero_gravi
    spi_sdi_i  : in  std_ulogic := '0'; -- controller data in, peripheral data out
119 2 zero_gravi
    spi_csn_o  : out std_ulogic_vector(07 downto 0); -- SPI CS
120
    -- TWI (available if IO_TWI_USE = true) --
121
    twi_sda_io : inout std_logic := 'H'; -- twi serial data line
122
    twi_scl_io : inout std_logic := 'H'; -- twi serial clock line
123
    -- PWM (available if IO_PWM_USE = true) --
124 14 zero_gravi
    pwm_o      : out std_ulogic_vector(03 downto 0); -- pwm channels
125
    -- Interrupts --
126
    msw_irq_i  : in  std_ulogic := '0'; -- machine software interrupt
127
    mext_irq_i : in  std_ulogic := '0'  -- machine external interrupt
128 2 zero_gravi
  );
129
end neorv32_top;
130
 
131
architecture neorv32_top_rtl of neorv32_top is
132
 
133 12 zero_gravi
  -- CPU boot address --
134
  constant boot_addr_c : std_ulogic_vector(31 downto 0) := cond_sel_stdulogicvector_f(BOOTLOADER_USE, boot_base_c, MEM_ISPACE_BASE);
135
 
136 2 zero_gravi
  -- reset generator --
137
  signal rstn_i_sync0 : std_ulogic;
138
  signal rstn_i_sync1 : std_ulogic;
139
  signal rstn_i_sync2 : std_ulogic;
140
  signal rstn_gen     : std_ulogic_vector(3 downto 0);
141
  signal ext_rstn     : std_ulogic;
142
  signal sys_rstn     : std_ulogic;
143
  signal wdt_rstn     : std_ulogic;
144
 
145
  -- clock generator --
146
  signal clk_div    : std_ulogic_vector(11 downto 0);
147
  signal clk_div_ff : std_ulogic_vector(11 downto 0);
148
  signal clk_gen    : std_ulogic_vector(07 downto 0);
149
  signal wdt_cg_en  : std_ulogic;
150
  signal uart_cg_en : std_ulogic;
151
  signal spi_cg_en  : std_ulogic;
152
  signal twi_cg_en  : std_ulogic;
153
  signal pwm_cg_en  : std_ulogic;
154
 
155 12 zero_gravi
  -- bus interface --
156
  type bus_interface_t is record
157 11 zero_gravi
    addr   : std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
158
    rdata  : std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
159
    wdata  : std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
160
    ben    : std_ulogic_vector(03 downto 0); -- byte enable
161
    we     : std_ulogic; -- write enable
162
    re     : std_ulogic; -- read enable
163
    cancel : std_ulogic; -- cancel current transfer
164
    ack    : std_ulogic; -- bus transfer acknowledge
165
    err    : std_ulogic; -- bus transfer error
166 12 zero_gravi
    fence  : std_ulogic; -- fence(i) instruction executed
167 11 zero_gravi
  end record;
168 12 zero_gravi
  signal cpu_i, cpu_d, p_bus : bus_interface_t;
169 2 zero_gravi
 
170
  -- io space access --
171
  signal io_acc  : std_ulogic;
172
  signal io_rden : std_ulogic;
173
  signal io_wren : std_ulogic;
174
 
175
  -- read-back busses -
176
  signal imem_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
177
  signal imem_ack       : std_ulogic;
178
  signal dmem_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
179
  signal dmem_ack       : std_ulogic;
180
  signal bootrom_rdata  : std_ulogic_vector(data_width_c-1 downto 0);
181
  signal bootrom_ack    : std_ulogic;
182
  signal wishbone_rdata : std_ulogic_vector(data_width_c-1 downto 0);
183
  signal wishbone_ack   : std_ulogic;
184
  signal wishbone_err   : std_ulogic;
185
  signal gpio_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
186
  signal gpio_ack       : std_ulogic;
187
  signal mtime_rdata    : std_ulogic_vector(data_width_c-1 downto 0);
188
  signal mtime_ack      : std_ulogic;
189
  signal uart_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
190
  signal uart_ack       : std_ulogic;
191
  signal spi_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
192
  signal spi_ack        : std_ulogic;
193
  signal twi_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
194
  signal twi_ack        : std_ulogic;
195
  signal pwm_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
196
  signal pwm_ack        : std_ulogic;
197
  signal wdt_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
198
  signal wdt_ack        : std_ulogic;
199
  signal trng_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
200
  signal trng_ack       : std_ulogic;
201 3 zero_gravi
  signal devnull_rdata  : std_ulogic_vector(data_width_c-1 downto 0);
202
  signal devnull_ack    : std_ulogic;
203 12 zero_gravi
  signal sysinfo_rdata  : std_ulogic_vector(data_width_c-1 downto 0);
204
  signal sysinfo_ack    : std_ulogic;
205 2 zero_gravi
 
206
  -- IRQs --
207
  signal mtime_irq : std_ulogic;
208 14 zero_gravi
  signal fast_irq  : std_ulogic_vector(3 downto 0);
209 2 zero_gravi
  signal gpio_irq  : std_ulogic;
210
  signal wdt_irq   : std_ulogic;
211
  signal uart_irq  : std_ulogic;
212
  signal spi_irq   : std_ulogic;
213
  signal twi_irq   : std_ulogic;
214
 
215 11 zero_gravi
  -- misc --
216
  signal mtime_time : std_ulogic_vector(63 downto 0); -- current system time from MTIME
217
 
218 2 zero_gravi
begin
219
 
220
  -- Sanity Checks --------------------------------------------------------------------------
221
  -- -------------------------------------------------------------------------------------------
222
  sanity_check: process(clk_i)
223
  begin
224
    if rising_edge(clk_i) then
225
      -- internal bootloader memory --
226
      if (BOOTLOADER_USE = true) and (boot_size_c > boot_max_size_c) then
227 18 zero_gravi
        assert false report "NEORV32 PROCESSOR CONFIG ERROR! Boot ROM size out of range." severity error;
228 2 zero_gravi
      end if;
229
 
230
      -- memory system - data/instruction fetch --
231
      if (MEM_EXT_USE = false) then
232
        if (MEM_INT_DMEM_USE = false) then
233 18 zero_gravi
          assert false report "NEORV32 PROCESSOR CONFIG ERROR! Core cannot fetch data without external memory interface and internal data memory." severity error;
234 2 zero_gravi
        end if;
235
        if (MEM_INT_IMEM_USE = false) and (BOOTLOADER_USE = false) then
236 18 zero_gravi
          assert false report "NEORV32 PROCESSOR CONFIG ERROR! Core cannot fetch instructions without external memory interface, internal data memory and bootloader." severity error;
237 2 zero_gravi
        end if;
238
      end if;
239
 
240 12 zero_gravi
      -- memory system --
241 18 zero_gravi
      if (MEM_ISPACE_BASE(1 downto 0) /= "00") then
242
        assert false report "NEORV32 PROCESSOR CONFIG ERROR! Instruction memory space base address must be 4-byte-aligned." severity error;
243
      end if;
244
      if (MEM_DSPACE_BASE(1 downto 0) /= "00") then
245
        assert false report "NEORV32 PROCESSOR CONFIG ERROR! Data memory space base address must be 4-byte-aligned." severity error;
246
      end if;
247 2 zero_gravi
      if (MEM_INT_IMEM_USE = true) and (MEM_INT_IMEM_SIZE > MEM_ISPACE_SIZE) then
248 18 zero_gravi
        assert false report "NEORV32 PROCESSOR CONFIG ERROR! Internal instruction memory (IMEM) cannot be greater than total instruction address space." severity error;
249 2 zero_gravi
      end if;
250
      if (MEM_INT_DMEM_USE = true) and (MEM_INT_DMEM_SIZE > MEM_DSPACE_SIZE) then
251 18 zero_gravi
        assert false report "NEORV32 PROCESSOR CONFIG ERROR! Internal data memory (DMEM) cannot be greater than total data address space." severity error;
252 2 zero_gravi
      end if;
253 12 zero_gravi
      if (MEM_EXT_TIMEOUT < 1) then
254 18 zero_gravi
        assert false report "NEORV32 PROCESSOR CONFIG ERROR! Invalid bus timeout. Processor-internal components have 1 cycle delay." severity error;
255 2 zero_gravi
      end if;
256
 
257
      -- clock --
258
      if (CLOCK_FREQUENCY = 0) then
259 18 zero_gravi
        assert false report "NEORV32 PROCESSOR CONFIG ERROR! Core clock frequency (CLOCK_FREQUENCY) not specified." severity error;
260 2 zero_gravi
      end if;
261
 
262
      -- memory layout notifier --
263
      if (MEM_ISPACE_BASE /= x"00000000") then
264 18 zero_gravi
        assert false report "NEORV32 PROCESSOR CONFIG WARNING! Non-default base address for instruction address space. Make sure this is sync with the software framwork." severity warning;
265 2 zero_gravi
      end if;
266
      if (MEM_DSPACE_BASE /= x"80000000") then
267 18 zero_gravi
        assert false report "NEORV32 PROCESSOR CONFIG WARNING! Non-default base address for data address space. Make sure this is sync with the software framwork." severity warning;
268 2 zero_gravi
      end if;
269
    end if;
270
  end process sanity_check;
271
 
272
 
273
  -- Reset Generator ------------------------------------------------------------------------
274
  -- -------------------------------------------------------------------------------------------
275
  reset_generator_sync: process(clk_i)
276
  begin
277
    -- make sure the external reset is free of metastability and has a minimal duration of 1 clock cycle
278
    if rising_edge(clk_i) then
279
      rstn_i_sync0 <= rstn_i;
280
      rstn_i_sync1 <= rstn_i_sync0;
281
      rstn_i_sync2 <= rstn_i_sync1;
282
    end if;
283
  end process reset_generator_sync;
284
 
285
  -- keep internal reset active for at least 4 clock cycles
286
  reset_generator: process(rstn_i_sync1, rstn_i_sync2, clk_i)
287
  begin
288
    if ((rstn_i_sync1 or rstn_i_sync2) = '0') then -- signal stable somehow?
289
      rstn_gen <= (others => '0');
290
    elsif rising_edge(clk_i) then
291
      rstn_gen <= rstn_gen(rstn_gen'left-1 downto 0) & '1';
292
    end if;
293
  end process reset_generator;
294
 
295
  ext_rstn <= rstn_gen(rstn_gen'left); -- the beautified external reset signal
296
  sys_rstn <= ext_rstn and wdt_rstn; -- system reset - can also be triggered by watchdog
297
 
298
 
299
  -- Clock Generator ------------------------------------------------------------------------
300
  -- -------------------------------------------------------------------------------------------
301
  clock_generator: process(sys_rstn, clk_i)
302
  begin
303
    if (sys_rstn = '0') then
304
      clk_div    <= (others => '0');
305
      clk_div_ff <= (others => '0');
306
    elsif rising_edge(clk_i) then
307
      -- anybody wanting some fresh clocks? --
308
      if ((wdt_cg_en or uart_cg_en or spi_cg_en or twi_cg_en or pwm_cg_en) = '1') then
309
        clk_div    <= std_ulogic_vector(unsigned(clk_div) + 1);
310
        clk_div_ff <= clk_div;
311
      end if;
312
    end if;
313
  end process clock_generator;
314
 
315
  -- clock enable select: rising edge detectors --
316
  clk_gen(clk_div2_c)    <= clk_div(0)  and (not clk_div_ff(0));  -- CLK/2
317
  clk_gen(clk_div4_c)    <= clk_div(1)  and (not clk_div_ff(1));  -- CLK/4
318
  clk_gen(clk_div8_c)    <= clk_div(2)  and (not clk_div_ff(2));  -- CLK/8
319
  clk_gen(clk_div64_c)   <= clk_div(5)  and (not clk_div_ff(5));  -- CLK/64
320
  clk_gen(clk_div128_c)  <= clk_div(6)  and (not clk_div_ff(6));  -- CLK/128
321
  clk_gen(clk_div1024_c) <= clk_div(9)  and (not clk_div_ff(9));  -- CLK/1024
322
  clk_gen(clk_div2048_c) <= clk_div(10) and (not clk_div_ff(10)); -- CLK/2048
323
  clk_gen(clk_div4096_c) <= clk_div(11) and (not clk_div_ff(11)); -- CLK/4096
324
 
325
 
326
  -- CPU ------------------------------------------------------------------------------------
327
  -- -------------------------------------------------------------------------------------------
328
  neorv32_cpu_inst: neorv32_cpu
329
  generic map (
330
    -- General --
331 12 zero_gravi
    CSR_COUNTERS_USE             => CSR_COUNTERS_USE, -- implement RISC-V perf. counters ([m]instret[h], [m]cycle[h], time[h])?
332
    HW_THREAD_ID                 => (others => '0'),  -- hardware thread id
333
    CPU_BOOT_ADDR                => boot_addr_c,      -- cpu boot address
334 2 zero_gravi
    -- RISC-V CPU Extensions --
335 8 zero_gravi
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,        -- implement compressed extension?
336
    CPU_EXTENSION_RISCV_E        => CPU_EXTENSION_RISCV_E,        -- implement embedded RF extension?
337
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,        -- implement muld/div extension?
338 15 zero_gravi
    CPU_EXTENSION_RISCV_U        => CPU_EXTENSION_RISCV_U,        -- implement user mode extension?
339 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
340
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
341 15 zero_gravi
    -- Physical Memory Protection (PMP) --
342
    PMP_USE                      => PMP_USE,         -- implement PMP?
343 16 zero_gravi
    PMP_NUM_REGIONS              => PMP_NUM_REGIONS, -- number of regions (max 8)
344
    PMP_GRANULARITY              => PMP_GRANULARITY, -- minimal region granularity (1=8B, 2=16B, 3=32B, ...) default is 64k
345 14 zero_gravi
    -- Bus Interface --
346
    BUS_TIMEOUT                  => MEM_EXT_TIMEOUT   -- cycles after which a valid bus access will timeout
347 2 zero_gravi
  )
348
  port map (
349
    -- global control --
350 12 zero_gravi
    clk_i          => clk_i,        -- global clock, rising edge
351
    rstn_i         => sys_rstn,     -- global reset, low-active, async
352
    -- instruction bus interface --
353
    i_bus_addr_o   => cpu_i.addr,   -- bus access address
354
    i_bus_rdata_i  => cpu_i.rdata,  -- bus read data
355
    i_bus_wdata_o  => cpu_i.wdata,  -- bus write data
356
    i_bus_ben_o    => cpu_i.ben,    -- byte enable
357
    i_bus_we_o     => cpu_i.we,     -- write enable
358
    i_bus_re_o     => cpu_i.re,     -- read enable
359
    i_bus_cancel_o => cpu_i.cancel, -- cancel current bus transaction
360
    i_bus_ack_i    => cpu_i.ack,    -- bus transfer acknowledge
361
    i_bus_err_i    => cpu_i.err,    -- bus transfer error
362
    i_bus_fence_o  => cpu_i.fence,  -- executed FENCEI operation
363
    -- data bus interface --
364
    d_bus_addr_o   => cpu_d.addr,   -- bus access address
365
    d_bus_rdata_i  => cpu_d.rdata,  -- bus read data
366
    d_bus_wdata_o  => cpu_d.wdata,  -- bus write data
367
    d_bus_ben_o    => cpu_d.ben,    -- byte enable
368
    d_bus_we_o     => cpu_d.we,     -- write enable
369
    d_bus_re_o     => cpu_d.re,     -- read enable
370
    d_bus_cancel_o => cpu_d.cancel, -- cancel current bus transaction
371
    d_bus_ack_i    => cpu_d.ack,    -- bus transfer acknowledge
372
    d_bus_err_i    => cpu_d.err,    -- bus transfer error
373
    d_bus_fence_o  => cpu_d.fence,  -- executed FENCE operation
374 11 zero_gravi
    -- system time input from MTIME --
375 12 zero_gravi
    time_i         => mtime_time,   -- current system time
376 14 zero_gravi
    -- interrupts (risc-v compliant) --
377
    msw_irq_i      => msw_irq_i,    -- machine software interrupt
378
    mext_irq_i     => mext_irq_i,   -- machine external interrupt request
379
    mtime_irq_i    => mtime_irq,    -- machine timer interrupt
380
    -- fast interrupts (custom) --
381
    firq_i         => fast_irq
382 2 zero_gravi
  );
383
 
384 14 zero_gravi
  -- advanced memory control --
385
  fence_o  <= cpu_d.fence; -- indicates an executed FENCE operation
386
  fencei_o <= cpu_i.fence; -- indicates an executed FENCEI operation
387 2 zero_gravi
 
388 14 zero_gravi
  -- fast interrupts --
389
  fast_irq(0) <= wdt_irq; -- highest priority
390
  fast_irq(1) <= gpio_irq;
391
  fast_irq(2) <= uart_irq;
392
  fast_irq(3) <= spi_irq or twi_irq; -- lowest priority, can be triggered by SPI or TWI
393
 
394
 
395 12 zero_gravi
  -- CPU Crossbar Switch --------------------------------------------------------------------
396
  -- -------------------------------------------------------------------------------------------
397
  neorv32_busswitch_inst: neorv32_busswitch
398
  generic map (
399
    PORT_CA_READ_ONLY => false, -- set if controller port A is read-only
400
    PORT_CB_READ_ONLY => true   -- set if controller port B is read-only
401
  )
402
  port map (
403
    -- global control --
404
    clk_i           => clk_i,        -- global clock, rising edge
405
    rstn_i          => sys_rstn,     -- global reset, low-active, async
406
    -- controller interface a --
407
    ca_bus_addr_i   => cpu_d.addr,   -- bus access address
408
    ca_bus_rdata_o  => cpu_d.rdata,  -- bus read data
409
    ca_bus_wdata_i  => cpu_d.wdata,  -- bus write data
410
    ca_bus_ben_i    => cpu_d.ben,    -- byte enable
411
    ca_bus_we_i     => cpu_d.we,     -- write enable
412
    ca_bus_re_i     => cpu_d.re,     -- read enable
413
    ca_bus_cancel_i => cpu_d.cancel, -- cancel current bus transaction
414
    ca_bus_ack_o    => cpu_d.ack,    -- bus transfer acknowledge
415
    ca_bus_err_o    => cpu_d.err,    -- bus transfer error
416
    -- controller interface b --
417
    cb_bus_addr_i   => cpu_i.addr,   -- bus access address
418
    cb_bus_rdata_o  => cpu_i.rdata,  -- bus read data
419
    cb_bus_wdata_i  => cpu_i.wdata,  -- bus write data
420
    cb_bus_ben_i    => cpu_i.ben,    -- byte enable
421
    cb_bus_we_i     => cpu_i.we,     -- write enable
422
    cb_bus_re_i     => cpu_i.re,     -- read enable
423
    cb_bus_cancel_i => cpu_i.cancel, -- cancel current bus transaction
424
    cb_bus_ack_o    => cpu_i.ack,    -- bus transfer acknowledge
425
    cb_bus_err_o    => cpu_i.err,    -- bus transfer error
426
    -- peripheral bus --
427
    p_bus_addr_o    => p_bus.addr,   -- bus access address
428
    p_bus_rdata_i   => p_bus.rdata,  -- bus read data
429
    p_bus_wdata_o   => p_bus.wdata,  -- bus write data
430
    p_bus_ben_o     => p_bus.ben,    -- byte enable
431
    p_bus_we_o      => p_bus.we,     -- write enable
432
    p_bus_re_o      => p_bus.re,     -- read enable
433
    p_bus_cancel_o  => p_bus.cancel, -- cancel current bus transaction
434
    p_bus_ack_i     => p_bus.ack,    -- bus transfer acknowledge
435
    p_bus_err_i     => p_bus.err     -- bus transfer error
436
  );
437 2 zero_gravi
 
438 14 zero_gravi
  -- processor bus: CPU data input --
439 12 zero_gravi
  p_bus.rdata <= (imem_rdata or dmem_rdata or bootrom_rdata) or wishbone_rdata or (gpio_rdata or mtime_rdata or uart_rdata or
440 14 zero_gravi
                 spi_rdata or twi_rdata or pwm_rdata or wdt_rdata or trng_rdata or devnull_rdata or sysinfo_rdata);
441 2 zero_gravi
 
442 14 zero_gravi
  -- processor bus: CPU data ACK input --
443 12 zero_gravi
  p_bus.ack <= (imem_ack or dmem_ack or bootrom_ack) or wishbone_ack or (gpio_ack or mtime_ack or uart_ack or
444 14 zero_gravi
               spi_ack or twi_ack or pwm_ack or wdt_ack or trng_ack or devnull_ack or sysinfo_ack);
445 12 zero_gravi
 
446 14 zero_gravi
  -- processor bus: CPU data bus error input --
447 12 zero_gravi
  p_bus.err <= wishbone_err;
448
 
449
 
450 2 zero_gravi
  -- Processor-Internal Instruction Memory (IMEM) -------------------------------------------
451
  -- -------------------------------------------------------------------------------------------
452
  neorv32_int_imem_inst_true:
453
  if (MEM_INT_IMEM_USE = true) generate
454
    neorv32_int_imem_inst: neorv32_imem
455
    generic map (
456
      IMEM_BASE      => MEM_ISPACE_BASE,   -- memory base address
457
      IMEM_SIZE      => MEM_INT_IMEM_SIZE, -- processor-internal instruction memory size in bytes
458
      IMEM_AS_ROM    => MEM_INT_IMEM_ROM,  -- implement IMEM as read-only memory?
459
      BOOTLOADER_USE => BOOTLOADER_USE     -- implement and use bootloader?
460
    )
461
    port map (
462 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
463
      rden_i => p_bus.re,    -- read enable
464
      wren_i => p_bus.we,    -- write enable
465
      ben_i  => p_bus.ben,   -- byte write enable
466
      upen_i => '1',         -- update enable
467
      addr_i => p_bus.addr,  -- address
468
      data_i => p_bus.wdata, -- data in
469
      data_o => imem_rdata,  -- data out
470
      ack_o  => imem_ack     -- transfer acknowledge
471 2 zero_gravi
    );
472
  end generate;
473
 
474
  neorv32_int_imem_inst_false:
475
  if (MEM_INT_IMEM_USE = false) generate
476
    imem_rdata <= (others => '0');
477
    imem_ack   <= '0';
478
  end generate;
479
 
480
 
481
  -- Processor-Internal Data Memory (DMEM) --------------------------------------------------
482
  -- -------------------------------------------------------------------------------------------
483
  neorv32_int_dmem_inst_true:
484
  if (MEM_INT_DMEM_USE = true) generate
485
    neorv32_int_dmem_inst: neorv32_dmem
486
    generic map (
487
      DMEM_BASE => MEM_DSPACE_BASE,  -- memory base address
488
      DMEM_SIZE => MEM_INT_DMEM_SIZE -- processor-internal data memory size in bytes
489
    )
490
    port map (
491 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
492
      rden_i => p_bus.re,    -- read enable
493
      wren_i => p_bus.we,    -- write enable
494
      ben_i  => p_bus.ben,   -- byte write enable
495
      addr_i => p_bus.addr,  -- address
496
      data_i => p_bus.wdata, -- data in
497
      data_o => dmem_rdata,  -- data out
498
      ack_o  => dmem_ack     -- transfer acknowledge
499 2 zero_gravi
    );
500
  end generate;
501
 
502
  neorv32_int_dmem_inst_false:
503
  if (MEM_INT_DMEM_USE = false) generate
504
    dmem_rdata <= (others => '0');
505
    dmem_ack   <= '0';
506
  end generate;
507
 
508
 
509
  -- Processor-Internal Bootloader ROM (BOOTROM) --------------------------------------------
510
  -- -------------------------------------------------------------------------------------------
511
  neorv32_boot_rom_inst_true:
512
  if (BOOTLOADER_USE = true) generate
513
    neorv32_boot_rom_inst: neorv32_boot_rom
514
    port map (
515
      clk_i  => clk_i,         -- global clock line
516 12 zero_gravi
      rden_i => p_bus.re,      -- read enable
517
      addr_i => p_bus.addr,    -- address
518 2 zero_gravi
      data_o => bootrom_rdata, -- data out
519
      ack_o  => bootrom_ack    -- transfer acknowledge
520
    );
521
  end generate;
522
 
523
  neorv32_boot_rom_inst_false:
524
  if (BOOTLOADER_USE = false) generate
525
    bootrom_rdata <= (others => '0');
526
    bootrom_ack   <= '0';
527
  end generate;
528
 
529
 
530
  -- External Wishbone Gateway (WISHBONE) ---------------------------------------------------
531
  -- -------------------------------------------------------------------------------------------
532
  neorv32_wishbone_inst_true:
533
  if (MEM_EXT_USE = true) generate
534
    neorv32_wishbone_inst: neorv32_wishbone
535
    generic map (
536
      INTERFACE_REG_STAGES => MEM_EXT_REG_STAGES, -- number of interface register stages (0,1,2)
537
      -- Memory configuration: Instruction memory --
538 12 zero_gravi
      MEM_ISPACE_BASE      => MEM_ISPACE_BASE,    -- base address of instruction memory space
539
      MEM_ISPACE_SIZE      => MEM_ISPACE_SIZE,    -- total size of instruction memory space in byte
540
      MEM_INT_IMEM_USE     => MEM_INT_IMEM_USE,   -- implement processor-internal instruction memory
541
      MEM_INT_IMEM_SIZE    => MEM_INT_IMEM_SIZE,  -- size of processor-internal instruction memory in bytes
542 2 zero_gravi
      -- Memory configuration: Data memory --
543 12 zero_gravi
      MEM_DSPACE_BASE      => MEM_DSPACE_BASE,    -- base address of data memory space
544
      MEM_DSPACE_SIZE      => MEM_DSPACE_SIZE,    -- total size of data memory space in byte
545
      MEM_INT_DMEM_USE     => MEM_INT_DMEM_USE,   -- implement processor-internal data memory
546
      MEM_INT_DMEM_SIZE    => MEM_INT_DMEM_SIZE   -- size of processor-internal data memory in bytes
547 2 zero_gravi
    )
548
    port map (
549
      -- global control --
550
      clk_i    => clk_i,          -- global clock line
551
      rstn_i   => sys_rstn,       -- global reset line, low-active
552
      -- host access --
553 12 zero_gravi
      addr_i   => p_bus.addr,     -- address
554
      rden_i   => p_bus.re,       -- read enable
555
      wren_i   => p_bus.we,       -- write enable
556
      ben_i    => p_bus.ben,      -- byte write enable
557
      data_i   => p_bus.wdata,    -- data in
558 2 zero_gravi
      data_o   => wishbone_rdata, -- data out
559 12 zero_gravi
      cancel_i => p_bus.cancel,   -- cancel current transaction
560 2 zero_gravi
      ack_o    => wishbone_ack,   -- transfer acknowledge
561
      err_o    => wishbone_err,   -- transfer error
562
      -- wishbone interface --
563
      wb_adr_o => wb_adr_o,       -- address
564
      wb_dat_i => wb_dat_i,       -- read data
565
      wb_dat_o => wb_dat_o,       -- write data
566
      wb_we_o  => wb_we_o,        -- read/write
567
      wb_sel_o => wb_sel_o,       -- byte enable
568
      wb_stb_o => wb_stb_o,       -- strobe
569
      wb_cyc_o => wb_cyc_o,       -- valid cycle
570
      wb_ack_i => wb_ack_i,       -- transfer acknowledge
571
      wb_err_i => wb_err_i        -- transfer error
572
    );
573
  end generate;
574
 
575
  neorv32_wishbone_inst_false:
576
  if (MEM_EXT_USE = false) generate
577
    wishbone_rdata <= (others => '0');
578
    wishbone_ack   <= '0';
579
    wishbone_err   <= '0';
580
    --
581
    wb_adr_o <= (others => '0');
582
    wb_dat_o <= (others => '0');
583
    wb_we_o  <= '0';
584
    wb_sel_o <= (others => '0');
585
    wb_stb_o <= '0';
586
    wb_cyc_o <= '0';
587
  end generate;
588
 
589
 
590
  -- IO Access? -----------------------------------------------------------------------------
591
  -- -------------------------------------------------------------------------------------------
592 12 zero_gravi
  io_acc  <= '1' when (p_bus.addr(data_width_c-1 downto index_size_f(io_size_c)) = io_base_c(data_width_c-1 downto index_size_f(io_size_c))) else '0';
593
  io_rden <= io_acc and p_bus.re;
594
  io_wren <= io_acc and p_bus.we;
595 2 zero_gravi
 
596
 
597
  -- General Purpose Input/Output Port (GPIO) -----------------------------------------------
598
  -- -------------------------------------------------------------------------------------------
599
  neorv32_gpio_inst_true:
600
  if (IO_GPIO_USE = true) generate
601
    neorv32_gpio_inst: neorv32_gpio
602
    port map (
603
      -- host access --
604 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
605
      addr_i => p_bus.addr,  -- address
606
      rden_i => io_rden,     -- read enable
607
      wren_i => io_wren,     -- write enable
608
      ben_i  => p_bus.ben,   -- byte write enable
609
      data_i => p_bus.wdata, -- data in
610
      data_o => gpio_rdata,  -- data out
611
      ack_o  => gpio_ack,    -- transfer acknowledge
612 2 zero_gravi
      -- parallel io --
613
      gpio_o => gpio_o,
614
      gpio_i => gpio_i,
615
      -- interrupt --
616 12 zero_gravi
      irq_o  => gpio_irq     -- pin-change interrupt
617 2 zero_gravi
    );
618
  end generate;
619
 
620
  neorv32_gpio_inst_false:
621
  if (IO_GPIO_USE = false) generate
622
    gpio_rdata <= (others => '0');
623
    gpio_ack   <= '0';
624
    gpio_o     <= (others => '0');
625
    gpio_irq   <= '0';
626
  end generate;
627
 
628
 
629
  -- Watch Dog Timer (WDT) ------------------------------------------------------------------
630
  -- -------------------------------------------------------------------------------------------
631
  neorv32_wdt_inst_true:
632
  if (IO_WDT_USE = true) generate
633
    neorv32_wdt_inst: neorv32_wdt
634
    port map (
635
      -- host access --
636 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
637
      rstn_i      => ext_rstn,    -- global reset line, low-active
638
      rden_i      => io_rden,     -- read enable
639
      wren_i      => io_wren,     -- write enable
640
      ben_i       => p_bus.ben,   -- byte write enable
641
      addr_i      => p_bus.addr,  -- address
642
      data_i      => p_bus.wdata, -- data in
643
      data_o      => wdt_rdata,   -- data out
644
      ack_o       => wdt_ack,     -- transfer acknowledge
645 2 zero_gravi
      -- clock generator --
646 12 zero_gravi
      clkgen_en_o => wdt_cg_en,   -- enable clock generator
647 2 zero_gravi
      clkgen_i    => clk_gen,
648
      -- timeout event --
649 12 zero_gravi
      irq_o       => wdt_irq,     -- timeout IRQ
650
      rstn_o      => wdt_rstn     -- timeout reset, low_active, use it as async!
651 2 zero_gravi
    );
652
  end generate;
653
 
654
  neorv32_wdt_inst_false:
655
  if (IO_WDT_USE = false) generate
656
    wdt_rdata <= (others => '0');
657
    wdt_ack   <= '0';
658
    wdt_irq   <= '0';
659
    wdt_rstn  <= '1';
660
    wdt_cg_en <= '0';
661
  end generate;
662
 
663
 
664
  -- Machine System Timer (MTIME) -----------------------------------------------------------
665
  -- -------------------------------------------------------------------------------------------
666
  neorv32_mtime_inst_true:
667
  if (IO_MTIME_USE = true) generate
668
    neorv32_mtime_inst: neorv32_mtime
669
    port map (
670
      -- host access --
671 12 zero_gravi
      clk_i     => clk_i,       -- global clock line
672
      rstn_i    => sys_rstn,    -- global reset, low-active, async
673
      addr_i    => p_bus.addr,  -- address
674
      rden_i    => io_rden,     -- read enable
675
      wren_i    => io_wren,     -- write enable
676
      ben_i     => p_bus.ben,   -- byte write enable
677
      data_i    => p_bus.wdata, -- data in
678
      data_o    => mtime_rdata, -- data out
679
      ack_o     => mtime_ack,   -- transfer acknowledge
680 11 zero_gravi
      -- time output for CPU --
681 12 zero_gravi
      time_o    => mtime_time,  -- current system time
682 2 zero_gravi
      -- interrupt --
683 12 zero_gravi
      irq_o     => mtime_irq    -- interrupt request
684 2 zero_gravi
    );
685
  end generate;
686
 
687
  neorv32_mtime_inst_false:
688
  if (IO_MTIME_USE = false) generate
689
    mtime_rdata <= (others => '0');
690 11 zero_gravi
    mtime_time  <= (others => '0');
691 2 zero_gravi
    mtime_ack   <= '0';
692
    mtime_irq   <= '0';
693
  end generate;
694
 
695
 
696
  -- Universal Asynchronous Receiver/Transmitter (UART) -------------------------------------
697
  -- -------------------------------------------------------------------------------------------
698
  neorv32_uart_inst_true:
699
  if (IO_UART_USE = true) generate
700
    neorv32_uart_inst: neorv32_uart
701
    port map (
702
      -- host access --
703 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
704
      addr_i      => p_bus.addr,  -- address
705
      rden_i      => io_rden,     -- read enable
706
      wren_i      => io_wren,     -- write enable
707
      ben_i       => p_bus.ben,   -- byte write enable
708
      data_i      => p_bus.wdata, -- data in
709
      data_o      => uart_rdata,  -- data out
710
      ack_o       => uart_ack,    -- transfer acknowledge
711 2 zero_gravi
      -- clock generator --
712 12 zero_gravi
      clkgen_en_o => uart_cg_en,  -- enable clock generator
713 2 zero_gravi
      clkgen_i    => clk_gen,
714
      -- com lines --
715
      uart_txd_o  => uart_txd_o,
716
      uart_rxd_i  => uart_rxd_i,
717
      -- interrupts --
718 12 zero_gravi
      uart_irq_o  => uart_irq     -- uart rx/tx interrupt
719 2 zero_gravi
    );
720
  end generate;
721
 
722
  neorv32_uart_inst_false:
723
  if (IO_UART_USE = false) generate
724
    uart_rdata <= (others => '0');
725
    uart_ack   <= '0';
726
    uart_txd_o <= '0';
727
    uart_cg_en <= '0';
728
    uart_irq   <= '0';
729
  end generate;
730
 
731
 
732
  -- Serial Peripheral Interface (SPI) ------------------------------------------------------
733
  -- -------------------------------------------------------------------------------------------
734
  neorv32_spi_inst_true:
735
  if (IO_SPI_USE = true) generate
736
    neorv32_spi_inst: neorv32_spi
737
    port map (
738
      -- host access --
739 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
740
      addr_i      => p_bus.addr,  -- address
741
      rden_i      => io_rden,     -- read enable
742
      wren_i      => io_wren,     -- write enable
743
      ben_i       => p_bus.ben,   -- byte write enable
744
      data_i      => p_bus.wdata, -- data in
745
      data_o      => spi_rdata,   -- data out
746
      ack_o       => spi_ack,     -- transfer acknowledge
747 2 zero_gravi
      -- clock generator --
748 12 zero_gravi
      clkgen_en_o => spi_cg_en,   -- enable clock generator
749 2 zero_gravi
      clkgen_i    => clk_gen,
750
      -- com lines --
751 12 zero_gravi
      spi_sck_o   => spi_sck_o,   -- SPI serial clock
752
      spi_sdo_o   => spi_sdo_o,   -- controller data out, peripheral data in
753
      spi_sdi_i   => spi_sdi_i,   -- controller data in, peripheral data out
754
      spi_csn_o   => spi_csn_o,   -- SPI CS
755 2 zero_gravi
      -- interrupt --
756 12 zero_gravi
      spi_irq_o   => spi_irq      -- transmission done interrupt
757 2 zero_gravi
    );
758
  end generate;
759
 
760
  neorv32_spi_inst_false:
761
  if (IO_SPI_USE = false) generate
762
    spi_rdata  <= (others => '0');
763
    spi_ack    <= '0';
764 6 zero_gravi
    spi_sck_o  <= '0';
765
    spi_sdo_o  <= '0';
766 2 zero_gravi
    spi_csn_o  <= (others => '1'); -- CSn lines are low-active
767
    spi_cg_en  <= '0';
768
    spi_irq    <= '0';
769
  end generate;
770
 
771
 
772
  -- Two-Wire Interface (TWI) ---------------------------------------------------------------
773
  -- -------------------------------------------------------------------------------------------
774
  neorv32_twi_inst_true:
775
  if (IO_TWI_USE = true) generate
776
    neorv32_twi_inst: neorv32_twi
777
    port map (
778
      -- host access --
779 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
780
      addr_i      => p_bus.addr,  -- address
781
      rden_i      => io_rden,     -- read enable
782
      wren_i      => io_wren,     -- write enable
783
      ben_i       => p_bus.ben,   -- byte write enable
784
      data_i      => p_bus.wdata, -- data in
785
      data_o      => twi_rdata,   -- data out
786
      ack_o       => twi_ack,     -- transfer acknowledge
787 2 zero_gravi
      -- clock generator --
788 12 zero_gravi
      clkgen_en_o => twi_cg_en,   -- enable clock generator
789 2 zero_gravi
      clkgen_i    => clk_gen,
790
      -- com lines --
791 12 zero_gravi
      twi_sda_io  => twi_sda_io,  -- serial data line
792
      twi_scl_io  => twi_scl_io,  -- serial clock line
793 2 zero_gravi
      -- interrupt --
794 12 zero_gravi
      twi_irq_o   => twi_irq      -- transfer done IRQ
795 2 zero_gravi
    );
796
  end generate;
797
 
798
  neorv32_twi_inst_false:
799
  if (IO_TWI_USE = false) generate
800
    twi_rdata  <= (others => '0');
801
    twi_ack    <= '0';
802
--  twi_sda_io <= 'H';
803
--  twi_scl_io <= 'H';
804
    twi_cg_en  <= '0';
805
    twi_irq    <= '0';
806
  end generate;
807
 
808
 
809
  -- Pulse-Width Modulation Controller (PWM) ------------------------------------------------
810
  -- -------------------------------------------------------------------------------------------
811
  neorv32_pwm_inst_true:
812
  if (IO_PWM_USE = true) generate
813
    neorv32_pwm_inst: neorv32_pwm
814
    port map (
815
      -- host access --
816 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
817
      addr_i      => p_bus.addr,  -- address
818
      rden_i      => io_rden,     -- read enable
819
      wren_i      => io_wren,     -- write enable
820
      ben_i       => p_bus.ben,   -- byte write enable
821
      data_i      => p_bus.wdata, -- data in
822
      data_o      => pwm_rdata,   -- data out
823
      ack_o       => pwm_ack,     -- transfer acknowledge
824 2 zero_gravi
      -- clock generator --
825 12 zero_gravi
      clkgen_en_o => pwm_cg_en,   -- enable clock generator
826 2 zero_gravi
      clkgen_i    => clk_gen,
827
      -- pwm output channels --
828
      pwm_o       => pwm_o
829
    );
830
  end generate;
831
 
832
  neorv32_pwm_inst_false:
833
  if (IO_PWM_USE = false) generate
834
    pwm_rdata <= (others => '0');
835
    pwm_ack   <= '0';
836
    pwm_cg_en <= '0';
837
    pwm_o     <= (others => '0');
838
  end generate;
839
 
840
 
841
  -- True Random Number Generator (TRNG) ----------------------------------------------------
842
  -- -------------------------------------------------------------------------------------------
843
  neorv32_trng_inst_true:
844
  if (IO_TRNG_USE = true) generate
845
    neorv32_trng_inst: neorv32_trng
846
    port map (
847
      -- host access --
848 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
849
      addr_i => p_bus.addr,  -- address
850
      rden_i => io_rden,     -- read enable
851
      wren_i => io_wren,     -- write enable
852
      ben_i  => p_bus.ben,   -- byte write enable
853
      data_i => p_bus.wdata, -- data in
854
      data_o => trng_rdata,  -- data out
855
      ack_o  => trng_ack     -- transfer acknowledge
856 2 zero_gravi
    );
857
  end generate;
858
 
859
  neorv32_trng_inst_false:
860
  if (IO_TRNG_USE = false) generate
861
    trng_rdata <= (others => '0');
862
    trng_ack   <= '0';
863
  end generate;
864
 
865
 
866 3 zero_gravi
  -- Dummy Device (DEVNULL) -----------------------------------------------------------------
867
  -- -------------------------------------------------------------------------------------------
868
  neorv32_devnull_inst_true:
869
  if (IO_DEVNULL_USE = true) generate
870
    neorv32_devnull_inst: neorv32_devnull
871
    port map (
872
      -- host access --
873
      clk_i  => clk_i,         -- global clock line
874 12 zero_gravi
      addr_i => p_bus.addr,    -- address
875 3 zero_gravi
      rden_i => io_rden,       -- read enable
876
      wren_i => io_wren,       -- write enable
877 12 zero_gravi
      ben_i  => p_bus.ben,     -- byte write enable
878
      data_i => p_bus.wdata,   -- data in
879 3 zero_gravi
      data_o => devnull_rdata, -- data out
880
      ack_o  => devnull_ack    -- transfer acknowledge
881
    );
882
  end generate;
883 12 zero_gravi
 
884 3 zero_gravi
  neorv32_devnull_inst_false:
885
  if (IO_DEVNULL_USE = false) generate
886
    devnull_rdata <= (others => '0');
887
    devnull_ack   <= '0';
888
  end generate;
889
 
890
 
891 12 zero_gravi
  -- System Configuration Information Memory (SYSINFO) --------------------------------------
892
  -- -------------------------------------------------------------------------------------------
893
  neorv32_sysinfo_inst: neorv32_sysinfo
894
  generic map (
895
    -- General --
896
    CLOCK_FREQUENCY   => CLOCK_FREQUENCY,   -- clock frequency of clk_i in Hz
897
    BOOTLOADER_USE    => BOOTLOADER_USE,    -- implement processor-internal bootloader?
898
    USER_CODE         => USER_CODE,         -- custom user code
899
    -- Memory configuration: Instruction memory --
900
    MEM_ISPACE_BASE   => MEM_ISPACE_BASE,   -- base address of instruction memory space
901
    MEM_ISPACE_SIZE   => MEM_ISPACE_SIZE,   -- total size of instruction memory space in byte
902
    MEM_INT_IMEM_USE  => MEM_INT_IMEM_USE,  -- implement processor-internal instruction memory
903
    MEM_INT_IMEM_SIZE => MEM_INT_IMEM_SIZE, -- size of processor-internal instruction memory in bytes
904
    MEM_INT_IMEM_ROM  => MEM_INT_IMEM_ROM,  -- implement processor-internal instruction memory as ROM
905
    -- Memory configuration: Data memory --
906
    MEM_DSPACE_BASE   => MEM_DSPACE_BASE,   -- base address of data memory space
907
    MEM_DSPACE_SIZE   => MEM_DSPACE_SIZE,   -- total size of data memory space in byte
908
    MEM_INT_DMEM_USE  => MEM_INT_DMEM_USE,  -- implement processor-internal data memory
909
    MEM_INT_DMEM_SIZE => MEM_INT_DMEM_SIZE, -- size of processor-internal data memory in bytes
910
    -- Memory configuration: External memory interface --
911
    MEM_EXT_USE       => MEM_EXT_USE,       -- implement external memory bus interface?
912
    -- Processor peripherals --
913
    IO_GPIO_USE       => IO_GPIO_USE,       -- implement general purpose input/output port unit (GPIO)?
914
    IO_MTIME_USE      => IO_MTIME_USE,      -- implement machine system timer (MTIME)?
915
    IO_UART_USE       => IO_UART_USE,       -- implement universal asynchronous receiver/transmitter (UART)?
916
    IO_SPI_USE        => IO_SPI_USE,        -- implement serial peripheral interface (SPI)?
917
    IO_TWI_USE        => IO_TWI_USE,        -- implement two-wire interface (TWI)?
918
    IO_PWM_USE        => IO_PWM_USE,        -- implement pulse-width modulation unit (PWM)?
919
    IO_WDT_USE        => IO_WDT_USE,        -- implement watch dog timer (WDT)?
920
    IO_TRNG_USE       => IO_TRNG_USE,       -- implement true random number generator (TRNG)?
921
    IO_DEVNULL_USE    => IO_DEVNULL_USE     -- implement dummy device (DEVNULL)?
922
  )
923
  port map (
924
    -- host access --
925
    clk_i  => clk_i,         -- global clock line
926
    addr_i => p_bus.addr,    -- address
927
    rden_i => io_rden,       -- read enable
928
    data_o => sysinfo_rdata, -- data out
929
    ack_o  => sysinfo_ack    -- transfer acknowledge
930
  );
931
 
932
 
933 2 zero_gravi
end neorv32_top_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.