OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_top.vhd] - Blame information for rev 19

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Processor Top Entity >>                                                          #
3
-- # ********************************************************************************************* #
4 18 zero_gravi
-- # This is the top entity of the NEORV32 PROCESSOR. Instantiate this unit in your own project    #
5 2 zero_gravi
-- # and define all the configuration generics according to your needs. Alternatively, you can use #
6 18 zero_gravi
-- # one of the alternative top entities provided in the "rtl/top_templates" folder.               #
7
-- #                                                                                               #
8
-- # Check the processor's documentary for more information: docs/NEORV32.pdf                      #
9 2 zero_gravi
-- # ********************************************************************************************* #
10
-- # BSD 3-Clause License                                                                          #
11
-- #                                                                                               #
12
-- # Copyright (c) 2020, Stephan Nolting. All rights reserved.                                     #
13
-- #                                                                                               #
14
-- # Redistribution and use in source and binary forms, with or without modification, are          #
15
-- # permitted provided that the following conditions are met:                                     #
16
-- #                                                                                               #
17
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
18
-- #    conditions and the following disclaimer.                                                   #
19
-- #                                                                                               #
20
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
21
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
22
-- #    provided with the distribution.                                                            #
23
-- #                                                                                               #
24
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
25
-- #    endorse or promote products derived from this software without specific prior written      #
26
-- #    permission.                                                                                #
27
-- #                                                                                               #
28
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
29
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
30
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
31
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
32
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
33
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
34
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
35
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
36
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
37
-- # ********************************************************************************************* #
38
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
39
-- #################################################################################################
40
 
41
library ieee;
42
use ieee.std_logic_1164.all;
43
use ieee.numeric_std.all;
44
 
45
library neorv32;
46
use neorv32.neorv32_package.all;
47
 
48
entity neorv32_top is
49
  generic (
50
    -- General --
51 12 zero_gravi
    CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
52 8 zero_gravi
    BOOTLOADER_USE               : boolean := true;   -- implement processor-internal bootloader?
53 12 zero_gravi
    USER_CODE                    : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
54 2 zero_gravi
    -- RISC-V CPU Extensions --
55 11 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
56 8 zero_gravi
    CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
57 11 zero_gravi
    CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement muld/div extension?
58 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
59 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
60
    CPU_EXTENSION_RISCV_Zifencei : boolean := true;   -- implement instruction stream sync.?
61 19 zero_gravi
    -- Extension Options --
62
    CSR_COUNTERS_USE             : boolean := true;  -- implement RISC-V perf. counters ([m]instret[h], [m]cycle[h], time[h])?
63
    FAST_MUL_EN                  : boolean := false; -- use DSPs for M extension's multiplier
64 15 zero_gravi
    -- Physical Memory Protection (PMP) --
65
    PMP_USE                      : boolean := false; -- implement PMP?
66 16 zero_gravi
    PMP_NUM_REGIONS              : natural := 4;     -- number of regions (max 8)
67
    PMP_GRANULARITY              : natural := 14;    -- minimal region granularity (1=8B, 2=16B, 3=32B, ...) default is 64k
68 2 zero_gravi
    -- Memory configuration: Instruction memory --
69 8 zero_gravi
    MEM_ISPACE_BASE              : std_ulogic_vector(31 downto 0) := x"00000000"; -- base address of instruction memory space
70
    MEM_ISPACE_SIZE              : natural := 16*1024; -- total size of instruction memory space in byte
71
    MEM_INT_IMEM_USE             : boolean := true;   -- implement processor-internal instruction memory
72
    MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
73
    MEM_INT_IMEM_ROM             : boolean := false;  -- implement processor-internal instruction memory as ROM
74 2 zero_gravi
    -- Memory configuration: Data memory --
75 8 zero_gravi
    MEM_DSPACE_BASE              : std_ulogic_vector(31 downto 0) := x"80000000"; -- base address of data memory space
76
    MEM_DSPACE_SIZE              : natural := 8*1024; -- total size of data memory space in byte
77
    MEM_INT_DMEM_USE             : boolean := true;   -- implement processor-internal data memory
78
    MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
79 2 zero_gravi
    -- Memory configuration: External memory interface --
80 8 zero_gravi
    MEM_EXT_USE                  : boolean := false;  -- implement external memory bus interface?
81
    MEM_EXT_REG_STAGES           : natural := 2;      -- number of interface register stages (0,1,2)
82
    MEM_EXT_TIMEOUT              : natural := 15;     -- cycles after which a valid bus access will timeout
83 2 zero_gravi
    -- Processor peripherals --
84 8 zero_gravi
    IO_GPIO_USE                  : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
85
    IO_MTIME_USE                 : boolean := true;   -- implement machine system timer (MTIME)?
86
    IO_UART_USE                  : boolean := true;   -- implement universal asynchronous receiver/transmitter (UART)?
87
    IO_SPI_USE                   : boolean := true;   -- implement serial peripheral interface (SPI)?
88
    IO_TWI_USE                   : boolean := true;   -- implement two-wire interface (TWI)?
89
    IO_PWM_USE                   : boolean := true;   -- implement pulse-width modulation unit (PWM)?
90
    IO_WDT_USE                   : boolean := true;   -- implement watch dog timer (WDT)?
91
    IO_TRNG_USE                  : boolean := false;  -- implement true random number generator (TRNG)?
92
    IO_DEVNULL_USE               : boolean := true    -- implement dummy device (DEVNULL)?
93 2 zero_gravi
  );
94
  port (
95
    -- Global control --
96
    clk_i      : in  std_ulogic := '0'; -- global clock, rising edge
97
    rstn_i     : in  std_ulogic := '0'; -- global reset, low-active, async
98
    -- Wishbone bus interface (available if MEM_EXT_USE = true) --
99
    wb_adr_o   : out std_ulogic_vector(31 downto 0); -- address
100
    wb_dat_i   : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- read data
101
    wb_dat_o   : out std_ulogic_vector(31 downto 0); -- write data
102
    wb_we_o    : out std_ulogic; -- read/write
103
    wb_sel_o   : out std_ulogic_vector(03 downto 0); -- byte enable
104
    wb_stb_o   : out std_ulogic; -- strobe
105
    wb_cyc_o   : out std_ulogic; -- valid cycle
106
    wb_ack_i   : in  std_ulogic := '0'; -- transfer acknowledge
107
    wb_err_i   : in  std_ulogic := '0'; -- transfer error
108 12 zero_gravi
    -- Advanced memory control signals (available if MEM_EXT_USE = true) --
109
    fence_o    : out std_ulogic; -- indicates an executed FENCE operation
110
    fencei_o   : out std_ulogic; -- indicates an executed FENCEI operation
111 2 zero_gravi
    -- GPIO (available if IO_GPIO_USE = true) --
112
    gpio_o     : out std_ulogic_vector(15 downto 0); -- parallel output
113
    gpio_i     : in  std_ulogic_vector(15 downto 0) := (others => '0'); -- parallel input
114
    -- UART (available if IO_UART_USE = true) --
115
    uart_txd_o : out std_ulogic; -- UART send data
116
    uart_rxd_i : in  std_ulogic := '0'; -- UART receive data
117
    -- SPI (available if IO_SPI_USE = true) --
118 6 zero_gravi
    spi_sck_o  : out std_ulogic; -- SPI serial clock
119
    spi_sdo_o  : out std_ulogic; -- controller data out, peripheral data in
120 14 zero_gravi
    spi_sdi_i  : in  std_ulogic := '0'; -- controller data in, peripheral data out
121 2 zero_gravi
    spi_csn_o  : out std_ulogic_vector(07 downto 0); -- SPI CS
122
    -- TWI (available if IO_TWI_USE = true) --
123
    twi_sda_io : inout std_logic := 'H'; -- twi serial data line
124
    twi_scl_io : inout std_logic := 'H'; -- twi serial clock line
125
    -- PWM (available if IO_PWM_USE = true) --
126 14 zero_gravi
    pwm_o      : out std_ulogic_vector(03 downto 0); -- pwm channels
127
    -- Interrupts --
128
    msw_irq_i  : in  std_ulogic := '0'; -- machine software interrupt
129
    mext_irq_i : in  std_ulogic := '0'  -- machine external interrupt
130 2 zero_gravi
  );
131
end neorv32_top;
132
 
133
architecture neorv32_top_rtl of neorv32_top is
134
 
135 12 zero_gravi
  -- CPU boot address --
136
  constant boot_addr_c : std_ulogic_vector(31 downto 0) := cond_sel_stdulogicvector_f(BOOTLOADER_USE, boot_base_c, MEM_ISPACE_BASE);
137
 
138 2 zero_gravi
  -- reset generator --
139
  signal rstn_i_sync0 : std_ulogic;
140
  signal rstn_i_sync1 : std_ulogic;
141
  signal rstn_i_sync2 : std_ulogic;
142
  signal rstn_gen     : std_ulogic_vector(3 downto 0);
143
  signal ext_rstn     : std_ulogic;
144
  signal sys_rstn     : std_ulogic;
145
  signal wdt_rstn     : std_ulogic;
146
 
147
  -- clock generator --
148
  signal clk_div    : std_ulogic_vector(11 downto 0);
149
  signal clk_div_ff : std_ulogic_vector(11 downto 0);
150
  signal clk_gen    : std_ulogic_vector(07 downto 0);
151
  signal wdt_cg_en  : std_ulogic;
152
  signal uart_cg_en : std_ulogic;
153
  signal spi_cg_en  : std_ulogic;
154
  signal twi_cg_en  : std_ulogic;
155
  signal pwm_cg_en  : std_ulogic;
156
 
157 12 zero_gravi
  -- bus interface --
158
  type bus_interface_t is record
159 11 zero_gravi
    addr   : std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
160
    rdata  : std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
161
    wdata  : std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
162
    ben    : std_ulogic_vector(03 downto 0); -- byte enable
163
    we     : std_ulogic; -- write enable
164
    re     : std_ulogic; -- read enable
165
    cancel : std_ulogic; -- cancel current transfer
166
    ack    : std_ulogic; -- bus transfer acknowledge
167
    err    : std_ulogic; -- bus transfer error
168 12 zero_gravi
    fence  : std_ulogic; -- fence(i) instruction executed
169 11 zero_gravi
  end record;
170 12 zero_gravi
  signal cpu_i, cpu_d, p_bus : bus_interface_t;
171 2 zero_gravi
 
172
  -- io space access --
173
  signal io_acc  : std_ulogic;
174
  signal io_rden : std_ulogic;
175
  signal io_wren : std_ulogic;
176
 
177
  -- read-back busses -
178
  signal imem_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
179
  signal imem_ack       : std_ulogic;
180
  signal dmem_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
181
  signal dmem_ack       : std_ulogic;
182
  signal bootrom_rdata  : std_ulogic_vector(data_width_c-1 downto 0);
183
  signal bootrom_ack    : std_ulogic;
184
  signal wishbone_rdata : std_ulogic_vector(data_width_c-1 downto 0);
185
  signal wishbone_ack   : std_ulogic;
186
  signal wishbone_err   : std_ulogic;
187
  signal gpio_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
188
  signal gpio_ack       : std_ulogic;
189
  signal mtime_rdata    : std_ulogic_vector(data_width_c-1 downto 0);
190
  signal mtime_ack      : std_ulogic;
191
  signal uart_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
192
  signal uart_ack       : std_ulogic;
193
  signal spi_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
194
  signal spi_ack        : std_ulogic;
195
  signal twi_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
196
  signal twi_ack        : std_ulogic;
197
  signal pwm_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
198
  signal pwm_ack        : std_ulogic;
199
  signal wdt_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
200
  signal wdt_ack        : std_ulogic;
201
  signal trng_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
202
  signal trng_ack       : std_ulogic;
203 3 zero_gravi
  signal devnull_rdata  : std_ulogic_vector(data_width_c-1 downto 0);
204
  signal devnull_ack    : std_ulogic;
205 12 zero_gravi
  signal sysinfo_rdata  : std_ulogic_vector(data_width_c-1 downto 0);
206
  signal sysinfo_ack    : std_ulogic;
207 2 zero_gravi
 
208
  -- IRQs --
209
  signal mtime_irq : std_ulogic;
210 14 zero_gravi
  signal fast_irq  : std_ulogic_vector(3 downto 0);
211 2 zero_gravi
  signal gpio_irq  : std_ulogic;
212
  signal wdt_irq   : std_ulogic;
213
  signal uart_irq  : std_ulogic;
214
  signal spi_irq   : std_ulogic;
215
  signal twi_irq   : std_ulogic;
216
 
217 11 zero_gravi
  -- misc --
218
  signal mtime_time : std_ulogic_vector(63 downto 0); -- current system time from MTIME
219
 
220 2 zero_gravi
begin
221
 
222
  -- Sanity Checks --------------------------------------------------------------------------
223
  -- -------------------------------------------------------------------------------------------
224
  sanity_check: process(clk_i)
225
  begin
226
    if rising_edge(clk_i) then
227
      -- internal bootloader memory --
228
      if (BOOTLOADER_USE = true) and (boot_size_c > boot_max_size_c) then
229 18 zero_gravi
        assert false report "NEORV32 PROCESSOR CONFIG ERROR! Boot ROM size out of range." severity error;
230 2 zero_gravi
      end if;
231
 
232
      -- memory system - data/instruction fetch --
233
      if (MEM_EXT_USE = false) then
234
        if (MEM_INT_DMEM_USE = false) then
235 18 zero_gravi
          assert false report "NEORV32 PROCESSOR CONFIG ERROR! Core cannot fetch data without external memory interface and internal data memory." severity error;
236 2 zero_gravi
        end if;
237
        if (MEM_INT_IMEM_USE = false) and (BOOTLOADER_USE = false) then
238 18 zero_gravi
          assert false report "NEORV32 PROCESSOR CONFIG ERROR! Core cannot fetch instructions without external memory interface, internal data memory and bootloader." severity error;
239 2 zero_gravi
        end if;
240
      end if;
241
 
242 12 zero_gravi
      -- memory system --
243 18 zero_gravi
      if (MEM_ISPACE_BASE(1 downto 0) /= "00") then
244
        assert false report "NEORV32 PROCESSOR CONFIG ERROR! Instruction memory space base address must be 4-byte-aligned." severity error;
245
      end if;
246
      if (MEM_DSPACE_BASE(1 downto 0) /= "00") then
247
        assert false report "NEORV32 PROCESSOR CONFIG ERROR! Data memory space base address must be 4-byte-aligned." severity error;
248
      end if;
249 2 zero_gravi
      if (MEM_INT_IMEM_USE = true) and (MEM_INT_IMEM_SIZE > MEM_ISPACE_SIZE) then
250 18 zero_gravi
        assert false report "NEORV32 PROCESSOR CONFIG ERROR! Internal instruction memory (IMEM) cannot be greater than total instruction address space." severity error;
251 2 zero_gravi
      end if;
252
      if (MEM_INT_DMEM_USE = true) and (MEM_INT_DMEM_SIZE > MEM_DSPACE_SIZE) then
253 18 zero_gravi
        assert false report "NEORV32 PROCESSOR CONFIG ERROR! Internal data memory (DMEM) cannot be greater than total data address space." severity error;
254 2 zero_gravi
      end if;
255 12 zero_gravi
      if (MEM_EXT_TIMEOUT < 1) then
256 18 zero_gravi
        assert false report "NEORV32 PROCESSOR CONFIG ERROR! Invalid bus timeout. Processor-internal components have 1 cycle delay." severity error;
257 2 zero_gravi
      end if;
258
 
259
      -- clock --
260
      if (CLOCK_FREQUENCY = 0) then
261 18 zero_gravi
        assert false report "NEORV32 PROCESSOR CONFIG ERROR! Core clock frequency (CLOCK_FREQUENCY) not specified." severity error;
262 2 zero_gravi
      end if;
263
 
264
      -- memory layout notifier --
265
      if (MEM_ISPACE_BASE /= x"00000000") then
266 18 zero_gravi
        assert false report "NEORV32 PROCESSOR CONFIG WARNING! Non-default base address for instruction address space. Make sure this is sync with the software framwork." severity warning;
267 2 zero_gravi
      end if;
268
      if (MEM_DSPACE_BASE /= x"80000000") then
269 18 zero_gravi
        assert false report "NEORV32 PROCESSOR CONFIG WARNING! Non-default base address for data address space. Make sure this is sync with the software framwork." severity warning;
270 2 zero_gravi
      end if;
271
    end if;
272
  end process sanity_check;
273
 
274
 
275
  -- Reset Generator ------------------------------------------------------------------------
276
  -- -------------------------------------------------------------------------------------------
277
  reset_generator_sync: process(clk_i)
278
  begin
279
    -- make sure the external reset is free of metastability and has a minimal duration of 1 clock cycle
280
    if rising_edge(clk_i) then
281
      rstn_i_sync0 <= rstn_i;
282
      rstn_i_sync1 <= rstn_i_sync0;
283
      rstn_i_sync2 <= rstn_i_sync1;
284
    end if;
285
  end process reset_generator_sync;
286
 
287
  -- keep internal reset active for at least 4 clock cycles
288
  reset_generator: process(rstn_i_sync1, rstn_i_sync2, clk_i)
289
  begin
290
    if ((rstn_i_sync1 or rstn_i_sync2) = '0') then -- signal stable somehow?
291
      rstn_gen <= (others => '0');
292
    elsif rising_edge(clk_i) then
293
      rstn_gen <= rstn_gen(rstn_gen'left-1 downto 0) & '1';
294
    end if;
295
  end process reset_generator;
296
 
297
  ext_rstn <= rstn_gen(rstn_gen'left); -- the beautified external reset signal
298
  sys_rstn <= ext_rstn and wdt_rstn; -- system reset - can also be triggered by watchdog
299
 
300
 
301
  -- Clock Generator ------------------------------------------------------------------------
302
  -- -------------------------------------------------------------------------------------------
303
  clock_generator: process(sys_rstn, clk_i)
304
  begin
305
    if (sys_rstn = '0') then
306
      clk_div    <= (others => '0');
307
      clk_div_ff <= (others => '0');
308
    elsif rising_edge(clk_i) then
309
      -- anybody wanting some fresh clocks? --
310
      if ((wdt_cg_en or uart_cg_en or spi_cg_en or twi_cg_en or pwm_cg_en) = '1') then
311
        clk_div    <= std_ulogic_vector(unsigned(clk_div) + 1);
312
        clk_div_ff <= clk_div;
313
      end if;
314
    end if;
315
  end process clock_generator;
316
 
317
  -- clock enable select: rising edge detectors --
318
  clk_gen(clk_div2_c)    <= clk_div(0)  and (not clk_div_ff(0));  -- CLK/2
319
  clk_gen(clk_div4_c)    <= clk_div(1)  and (not clk_div_ff(1));  -- CLK/4
320
  clk_gen(clk_div8_c)    <= clk_div(2)  and (not clk_div_ff(2));  -- CLK/8
321
  clk_gen(clk_div64_c)   <= clk_div(5)  and (not clk_div_ff(5));  -- CLK/64
322
  clk_gen(clk_div128_c)  <= clk_div(6)  and (not clk_div_ff(6));  -- CLK/128
323
  clk_gen(clk_div1024_c) <= clk_div(9)  and (not clk_div_ff(9));  -- CLK/1024
324
  clk_gen(clk_div2048_c) <= clk_div(10) and (not clk_div_ff(10)); -- CLK/2048
325
  clk_gen(clk_div4096_c) <= clk_div(11) and (not clk_div_ff(11)); -- CLK/4096
326
 
327
 
328
  -- CPU ------------------------------------------------------------------------------------
329
  -- -------------------------------------------------------------------------------------------
330
  neorv32_cpu_inst: neorv32_cpu
331
  generic map (
332
    -- General --
333 19 zero_gravi
    HW_THREAD_ID                 => (others => '0'), -- hardware thread id
334
    CPU_BOOT_ADDR                => boot_addr_c,     -- cpu boot address
335 2 zero_gravi
    -- RISC-V CPU Extensions --
336 8 zero_gravi
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,        -- implement compressed extension?
337
    CPU_EXTENSION_RISCV_E        => CPU_EXTENSION_RISCV_E,        -- implement embedded RF extension?
338
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,        -- implement muld/div extension?
339 15 zero_gravi
    CPU_EXTENSION_RISCV_U        => CPU_EXTENSION_RISCV_U,        -- implement user mode extension?
340 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
341
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
342 19 zero_gravi
    -- Extension Options --
343
    CSR_COUNTERS_USE             => CSR_COUNTERS_USE, -- implement RISC-V perf. counters ([m]instret[h], [m]cycle[h], time[h])?
344
    FAST_MUL_EN                  => FAST_MUL_EN,      -- use DSPs for M extension's multiplier
345 15 zero_gravi
    -- Physical Memory Protection (PMP) --
346
    PMP_USE                      => PMP_USE,         -- implement PMP?
347 16 zero_gravi
    PMP_NUM_REGIONS              => PMP_NUM_REGIONS, -- number of regions (max 8)
348
    PMP_GRANULARITY              => PMP_GRANULARITY, -- minimal region granularity (1=8B, 2=16B, 3=32B, ...) default is 64k
349 14 zero_gravi
    -- Bus Interface --
350
    BUS_TIMEOUT                  => MEM_EXT_TIMEOUT   -- cycles after which a valid bus access will timeout
351 2 zero_gravi
  )
352
  port map (
353
    -- global control --
354 12 zero_gravi
    clk_i          => clk_i,        -- global clock, rising edge
355
    rstn_i         => sys_rstn,     -- global reset, low-active, async
356
    -- instruction bus interface --
357
    i_bus_addr_o   => cpu_i.addr,   -- bus access address
358
    i_bus_rdata_i  => cpu_i.rdata,  -- bus read data
359
    i_bus_wdata_o  => cpu_i.wdata,  -- bus write data
360
    i_bus_ben_o    => cpu_i.ben,    -- byte enable
361
    i_bus_we_o     => cpu_i.we,     -- write enable
362
    i_bus_re_o     => cpu_i.re,     -- read enable
363
    i_bus_cancel_o => cpu_i.cancel, -- cancel current bus transaction
364
    i_bus_ack_i    => cpu_i.ack,    -- bus transfer acknowledge
365
    i_bus_err_i    => cpu_i.err,    -- bus transfer error
366
    i_bus_fence_o  => cpu_i.fence,  -- executed FENCEI operation
367
    -- data bus interface --
368
    d_bus_addr_o   => cpu_d.addr,   -- bus access address
369
    d_bus_rdata_i  => cpu_d.rdata,  -- bus read data
370
    d_bus_wdata_o  => cpu_d.wdata,  -- bus write data
371
    d_bus_ben_o    => cpu_d.ben,    -- byte enable
372
    d_bus_we_o     => cpu_d.we,     -- write enable
373
    d_bus_re_o     => cpu_d.re,     -- read enable
374
    d_bus_cancel_o => cpu_d.cancel, -- cancel current bus transaction
375
    d_bus_ack_i    => cpu_d.ack,    -- bus transfer acknowledge
376
    d_bus_err_i    => cpu_d.err,    -- bus transfer error
377
    d_bus_fence_o  => cpu_d.fence,  -- executed FENCE operation
378 11 zero_gravi
    -- system time input from MTIME --
379 12 zero_gravi
    time_i         => mtime_time,   -- current system time
380 14 zero_gravi
    -- interrupts (risc-v compliant) --
381
    msw_irq_i      => msw_irq_i,    -- machine software interrupt
382
    mext_irq_i     => mext_irq_i,   -- machine external interrupt request
383
    mtime_irq_i    => mtime_irq,    -- machine timer interrupt
384
    -- fast interrupts (custom) --
385
    firq_i         => fast_irq
386 2 zero_gravi
  );
387
 
388 14 zero_gravi
  -- advanced memory control --
389
  fence_o  <= cpu_d.fence; -- indicates an executed FENCE operation
390
  fencei_o <= cpu_i.fence; -- indicates an executed FENCEI operation
391 2 zero_gravi
 
392 14 zero_gravi
  -- fast interrupts --
393
  fast_irq(0) <= wdt_irq; -- highest priority
394
  fast_irq(1) <= gpio_irq;
395
  fast_irq(2) <= uart_irq;
396
  fast_irq(3) <= spi_irq or twi_irq; -- lowest priority, can be triggered by SPI or TWI
397
 
398
 
399 12 zero_gravi
  -- CPU Crossbar Switch --------------------------------------------------------------------
400
  -- -------------------------------------------------------------------------------------------
401
  neorv32_busswitch_inst: neorv32_busswitch
402
  generic map (
403
    PORT_CA_READ_ONLY => false, -- set if controller port A is read-only
404
    PORT_CB_READ_ONLY => true   -- set if controller port B is read-only
405
  )
406
  port map (
407
    -- global control --
408
    clk_i           => clk_i,        -- global clock, rising edge
409
    rstn_i          => sys_rstn,     -- global reset, low-active, async
410
    -- controller interface a --
411
    ca_bus_addr_i   => cpu_d.addr,   -- bus access address
412
    ca_bus_rdata_o  => cpu_d.rdata,  -- bus read data
413
    ca_bus_wdata_i  => cpu_d.wdata,  -- bus write data
414
    ca_bus_ben_i    => cpu_d.ben,    -- byte enable
415
    ca_bus_we_i     => cpu_d.we,     -- write enable
416
    ca_bus_re_i     => cpu_d.re,     -- read enable
417
    ca_bus_cancel_i => cpu_d.cancel, -- cancel current bus transaction
418
    ca_bus_ack_o    => cpu_d.ack,    -- bus transfer acknowledge
419
    ca_bus_err_o    => cpu_d.err,    -- bus transfer error
420
    -- controller interface b --
421
    cb_bus_addr_i   => cpu_i.addr,   -- bus access address
422
    cb_bus_rdata_o  => cpu_i.rdata,  -- bus read data
423
    cb_bus_wdata_i  => cpu_i.wdata,  -- bus write data
424
    cb_bus_ben_i    => cpu_i.ben,    -- byte enable
425
    cb_bus_we_i     => cpu_i.we,     -- write enable
426
    cb_bus_re_i     => cpu_i.re,     -- read enable
427
    cb_bus_cancel_i => cpu_i.cancel, -- cancel current bus transaction
428
    cb_bus_ack_o    => cpu_i.ack,    -- bus transfer acknowledge
429
    cb_bus_err_o    => cpu_i.err,    -- bus transfer error
430
    -- peripheral bus --
431
    p_bus_addr_o    => p_bus.addr,   -- bus access address
432
    p_bus_rdata_i   => p_bus.rdata,  -- bus read data
433
    p_bus_wdata_o   => p_bus.wdata,  -- bus write data
434
    p_bus_ben_o     => p_bus.ben,    -- byte enable
435
    p_bus_we_o      => p_bus.we,     -- write enable
436
    p_bus_re_o      => p_bus.re,     -- read enable
437
    p_bus_cancel_o  => p_bus.cancel, -- cancel current bus transaction
438
    p_bus_ack_i     => p_bus.ack,    -- bus transfer acknowledge
439
    p_bus_err_i     => p_bus.err     -- bus transfer error
440
  );
441 2 zero_gravi
 
442 14 zero_gravi
  -- processor bus: CPU data input --
443 12 zero_gravi
  p_bus.rdata <= (imem_rdata or dmem_rdata or bootrom_rdata) or wishbone_rdata or (gpio_rdata or mtime_rdata or uart_rdata or
444 14 zero_gravi
                 spi_rdata or twi_rdata or pwm_rdata or wdt_rdata or trng_rdata or devnull_rdata or sysinfo_rdata);
445 2 zero_gravi
 
446 14 zero_gravi
  -- processor bus: CPU data ACK input --
447 12 zero_gravi
  p_bus.ack <= (imem_ack or dmem_ack or bootrom_ack) or wishbone_ack or (gpio_ack or mtime_ack or uart_ack or
448 14 zero_gravi
               spi_ack or twi_ack or pwm_ack or wdt_ack or trng_ack or devnull_ack or sysinfo_ack);
449 12 zero_gravi
 
450 14 zero_gravi
  -- processor bus: CPU data bus error input --
451 12 zero_gravi
  p_bus.err <= wishbone_err;
452
 
453
 
454 2 zero_gravi
  -- Processor-Internal Instruction Memory (IMEM) -------------------------------------------
455
  -- -------------------------------------------------------------------------------------------
456
  neorv32_int_imem_inst_true:
457
  if (MEM_INT_IMEM_USE = true) generate
458
    neorv32_int_imem_inst: neorv32_imem
459
    generic map (
460
      IMEM_BASE      => MEM_ISPACE_BASE,   -- memory base address
461
      IMEM_SIZE      => MEM_INT_IMEM_SIZE, -- processor-internal instruction memory size in bytes
462
      IMEM_AS_ROM    => MEM_INT_IMEM_ROM,  -- implement IMEM as read-only memory?
463
      BOOTLOADER_USE => BOOTLOADER_USE     -- implement and use bootloader?
464
    )
465
    port map (
466 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
467
      rden_i => p_bus.re,    -- read enable
468
      wren_i => p_bus.we,    -- write enable
469
      ben_i  => p_bus.ben,   -- byte write enable
470
      upen_i => '1',         -- update enable
471
      addr_i => p_bus.addr,  -- address
472
      data_i => p_bus.wdata, -- data in
473
      data_o => imem_rdata,  -- data out
474
      ack_o  => imem_ack     -- transfer acknowledge
475 2 zero_gravi
    );
476
  end generate;
477
 
478
  neorv32_int_imem_inst_false:
479
  if (MEM_INT_IMEM_USE = false) generate
480
    imem_rdata <= (others => '0');
481
    imem_ack   <= '0';
482
  end generate;
483
 
484
 
485
  -- Processor-Internal Data Memory (DMEM) --------------------------------------------------
486
  -- -------------------------------------------------------------------------------------------
487
  neorv32_int_dmem_inst_true:
488
  if (MEM_INT_DMEM_USE = true) generate
489
    neorv32_int_dmem_inst: neorv32_dmem
490
    generic map (
491
      DMEM_BASE => MEM_DSPACE_BASE,  -- memory base address
492
      DMEM_SIZE => MEM_INT_DMEM_SIZE -- processor-internal data memory size in bytes
493
    )
494
    port map (
495 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
496
      rden_i => p_bus.re,    -- read enable
497
      wren_i => p_bus.we,    -- write enable
498
      ben_i  => p_bus.ben,   -- byte write enable
499
      addr_i => p_bus.addr,  -- address
500
      data_i => p_bus.wdata, -- data in
501
      data_o => dmem_rdata,  -- data out
502
      ack_o  => dmem_ack     -- transfer acknowledge
503 2 zero_gravi
    );
504
  end generate;
505
 
506
  neorv32_int_dmem_inst_false:
507
  if (MEM_INT_DMEM_USE = false) generate
508
    dmem_rdata <= (others => '0');
509
    dmem_ack   <= '0';
510
  end generate;
511
 
512
 
513
  -- Processor-Internal Bootloader ROM (BOOTROM) --------------------------------------------
514
  -- -------------------------------------------------------------------------------------------
515
  neorv32_boot_rom_inst_true:
516
  if (BOOTLOADER_USE = true) generate
517
    neorv32_boot_rom_inst: neorv32_boot_rom
518
    port map (
519
      clk_i  => clk_i,         -- global clock line
520 12 zero_gravi
      rden_i => p_bus.re,      -- read enable
521
      addr_i => p_bus.addr,    -- address
522 2 zero_gravi
      data_o => bootrom_rdata, -- data out
523
      ack_o  => bootrom_ack    -- transfer acknowledge
524
    );
525
  end generate;
526
 
527
  neorv32_boot_rom_inst_false:
528
  if (BOOTLOADER_USE = false) generate
529
    bootrom_rdata <= (others => '0');
530
    bootrom_ack   <= '0';
531
  end generate;
532
 
533
 
534
  -- External Wishbone Gateway (WISHBONE) ---------------------------------------------------
535
  -- -------------------------------------------------------------------------------------------
536
  neorv32_wishbone_inst_true:
537
  if (MEM_EXT_USE = true) generate
538
    neorv32_wishbone_inst: neorv32_wishbone
539
    generic map (
540
      INTERFACE_REG_STAGES => MEM_EXT_REG_STAGES, -- number of interface register stages (0,1,2)
541
      -- Memory configuration: Instruction memory --
542 12 zero_gravi
      MEM_ISPACE_BASE      => MEM_ISPACE_BASE,    -- base address of instruction memory space
543
      MEM_ISPACE_SIZE      => MEM_ISPACE_SIZE,    -- total size of instruction memory space in byte
544
      MEM_INT_IMEM_USE     => MEM_INT_IMEM_USE,   -- implement processor-internal instruction memory
545
      MEM_INT_IMEM_SIZE    => MEM_INT_IMEM_SIZE,  -- size of processor-internal instruction memory in bytes
546 2 zero_gravi
      -- Memory configuration: Data memory --
547 12 zero_gravi
      MEM_DSPACE_BASE      => MEM_DSPACE_BASE,    -- base address of data memory space
548
      MEM_DSPACE_SIZE      => MEM_DSPACE_SIZE,    -- total size of data memory space in byte
549
      MEM_INT_DMEM_USE     => MEM_INT_DMEM_USE,   -- implement processor-internal data memory
550
      MEM_INT_DMEM_SIZE    => MEM_INT_DMEM_SIZE   -- size of processor-internal data memory in bytes
551 2 zero_gravi
    )
552
    port map (
553
      -- global control --
554
      clk_i    => clk_i,          -- global clock line
555
      rstn_i   => sys_rstn,       -- global reset line, low-active
556
      -- host access --
557 12 zero_gravi
      addr_i   => p_bus.addr,     -- address
558
      rden_i   => p_bus.re,       -- read enable
559
      wren_i   => p_bus.we,       -- write enable
560
      ben_i    => p_bus.ben,      -- byte write enable
561
      data_i   => p_bus.wdata,    -- data in
562 2 zero_gravi
      data_o   => wishbone_rdata, -- data out
563 12 zero_gravi
      cancel_i => p_bus.cancel,   -- cancel current transaction
564 2 zero_gravi
      ack_o    => wishbone_ack,   -- transfer acknowledge
565
      err_o    => wishbone_err,   -- transfer error
566
      -- wishbone interface --
567
      wb_adr_o => wb_adr_o,       -- address
568
      wb_dat_i => wb_dat_i,       -- read data
569
      wb_dat_o => wb_dat_o,       -- write data
570
      wb_we_o  => wb_we_o,        -- read/write
571
      wb_sel_o => wb_sel_o,       -- byte enable
572
      wb_stb_o => wb_stb_o,       -- strobe
573
      wb_cyc_o => wb_cyc_o,       -- valid cycle
574
      wb_ack_i => wb_ack_i,       -- transfer acknowledge
575
      wb_err_i => wb_err_i        -- transfer error
576
    );
577
  end generate;
578
 
579
  neorv32_wishbone_inst_false:
580
  if (MEM_EXT_USE = false) generate
581
    wishbone_rdata <= (others => '0');
582
    wishbone_ack   <= '0';
583
    wishbone_err   <= '0';
584
    --
585
    wb_adr_o <= (others => '0');
586
    wb_dat_o <= (others => '0');
587
    wb_we_o  <= '0';
588
    wb_sel_o <= (others => '0');
589
    wb_stb_o <= '0';
590
    wb_cyc_o <= '0';
591
  end generate;
592
 
593
 
594
  -- IO Access? -----------------------------------------------------------------------------
595
  -- -------------------------------------------------------------------------------------------
596 12 zero_gravi
  io_acc  <= '1' when (p_bus.addr(data_width_c-1 downto index_size_f(io_size_c)) = io_base_c(data_width_c-1 downto index_size_f(io_size_c))) else '0';
597
  io_rden <= io_acc and p_bus.re;
598
  io_wren <= io_acc and p_bus.we;
599 2 zero_gravi
 
600
 
601
  -- General Purpose Input/Output Port (GPIO) -----------------------------------------------
602
  -- -------------------------------------------------------------------------------------------
603
  neorv32_gpio_inst_true:
604
  if (IO_GPIO_USE = true) generate
605
    neorv32_gpio_inst: neorv32_gpio
606
    port map (
607
      -- host access --
608 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
609
      addr_i => p_bus.addr,  -- address
610
      rden_i => io_rden,     -- read enable
611
      wren_i => io_wren,     -- write enable
612
      ben_i  => p_bus.ben,   -- byte write enable
613
      data_i => p_bus.wdata, -- data in
614
      data_o => gpio_rdata,  -- data out
615
      ack_o  => gpio_ack,    -- transfer acknowledge
616 2 zero_gravi
      -- parallel io --
617
      gpio_o => gpio_o,
618
      gpio_i => gpio_i,
619
      -- interrupt --
620 12 zero_gravi
      irq_o  => gpio_irq     -- pin-change interrupt
621 2 zero_gravi
    );
622
  end generate;
623
 
624
  neorv32_gpio_inst_false:
625
  if (IO_GPIO_USE = false) generate
626
    gpio_rdata <= (others => '0');
627
    gpio_ack   <= '0';
628
    gpio_o     <= (others => '0');
629
    gpio_irq   <= '0';
630
  end generate;
631
 
632
 
633
  -- Watch Dog Timer (WDT) ------------------------------------------------------------------
634
  -- -------------------------------------------------------------------------------------------
635
  neorv32_wdt_inst_true:
636
  if (IO_WDT_USE = true) generate
637
    neorv32_wdt_inst: neorv32_wdt
638
    port map (
639
      -- host access --
640 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
641
      rstn_i      => ext_rstn,    -- global reset line, low-active
642
      rden_i      => io_rden,     -- read enable
643
      wren_i      => io_wren,     -- write enable
644
      ben_i       => p_bus.ben,   -- byte write enable
645
      addr_i      => p_bus.addr,  -- address
646
      data_i      => p_bus.wdata, -- data in
647
      data_o      => wdt_rdata,   -- data out
648
      ack_o       => wdt_ack,     -- transfer acknowledge
649 2 zero_gravi
      -- clock generator --
650 12 zero_gravi
      clkgen_en_o => wdt_cg_en,   -- enable clock generator
651 2 zero_gravi
      clkgen_i    => clk_gen,
652
      -- timeout event --
653 12 zero_gravi
      irq_o       => wdt_irq,     -- timeout IRQ
654
      rstn_o      => wdt_rstn     -- timeout reset, low_active, use it as async!
655 2 zero_gravi
    );
656
  end generate;
657
 
658
  neorv32_wdt_inst_false:
659
  if (IO_WDT_USE = false) generate
660
    wdt_rdata <= (others => '0');
661
    wdt_ack   <= '0';
662
    wdt_irq   <= '0';
663
    wdt_rstn  <= '1';
664
    wdt_cg_en <= '0';
665
  end generate;
666
 
667
 
668
  -- Machine System Timer (MTIME) -----------------------------------------------------------
669
  -- -------------------------------------------------------------------------------------------
670
  neorv32_mtime_inst_true:
671
  if (IO_MTIME_USE = true) generate
672
    neorv32_mtime_inst: neorv32_mtime
673
    port map (
674
      -- host access --
675 12 zero_gravi
      clk_i     => clk_i,       -- global clock line
676
      rstn_i    => sys_rstn,    -- global reset, low-active, async
677
      addr_i    => p_bus.addr,  -- address
678
      rden_i    => io_rden,     -- read enable
679
      wren_i    => io_wren,     -- write enable
680
      ben_i     => p_bus.ben,   -- byte write enable
681
      data_i    => p_bus.wdata, -- data in
682
      data_o    => mtime_rdata, -- data out
683
      ack_o     => mtime_ack,   -- transfer acknowledge
684 11 zero_gravi
      -- time output for CPU --
685 12 zero_gravi
      time_o    => mtime_time,  -- current system time
686 2 zero_gravi
      -- interrupt --
687 12 zero_gravi
      irq_o     => mtime_irq    -- interrupt request
688 2 zero_gravi
    );
689
  end generate;
690
 
691
  neorv32_mtime_inst_false:
692
  if (IO_MTIME_USE = false) generate
693
    mtime_rdata <= (others => '0');
694 11 zero_gravi
    mtime_time  <= (others => '0');
695 2 zero_gravi
    mtime_ack   <= '0';
696
    mtime_irq   <= '0';
697
  end generate;
698
 
699
 
700
  -- Universal Asynchronous Receiver/Transmitter (UART) -------------------------------------
701
  -- -------------------------------------------------------------------------------------------
702
  neorv32_uart_inst_true:
703
  if (IO_UART_USE = true) generate
704
    neorv32_uart_inst: neorv32_uart
705
    port map (
706
      -- host access --
707 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
708
      addr_i      => p_bus.addr,  -- address
709
      rden_i      => io_rden,     -- read enable
710
      wren_i      => io_wren,     -- write enable
711
      ben_i       => p_bus.ben,   -- byte write enable
712
      data_i      => p_bus.wdata, -- data in
713
      data_o      => uart_rdata,  -- data out
714
      ack_o       => uart_ack,    -- transfer acknowledge
715 2 zero_gravi
      -- clock generator --
716 12 zero_gravi
      clkgen_en_o => uart_cg_en,  -- enable clock generator
717 2 zero_gravi
      clkgen_i    => clk_gen,
718
      -- com lines --
719
      uart_txd_o  => uart_txd_o,
720
      uart_rxd_i  => uart_rxd_i,
721
      -- interrupts --
722 12 zero_gravi
      uart_irq_o  => uart_irq     -- uart rx/tx interrupt
723 2 zero_gravi
    );
724
  end generate;
725
 
726
  neorv32_uart_inst_false:
727
  if (IO_UART_USE = false) generate
728
    uart_rdata <= (others => '0');
729
    uart_ack   <= '0';
730
    uart_txd_o <= '0';
731
    uart_cg_en <= '0';
732
    uart_irq   <= '0';
733
  end generate;
734
 
735
 
736
  -- Serial Peripheral Interface (SPI) ------------------------------------------------------
737
  -- -------------------------------------------------------------------------------------------
738
  neorv32_spi_inst_true:
739
  if (IO_SPI_USE = true) generate
740
    neorv32_spi_inst: neorv32_spi
741
    port map (
742
      -- host access --
743 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
744
      addr_i      => p_bus.addr,  -- address
745
      rden_i      => io_rden,     -- read enable
746
      wren_i      => io_wren,     -- write enable
747
      ben_i       => p_bus.ben,   -- byte write enable
748
      data_i      => p_bus.wdata, -- data in
749
      data_o      => spi_rdata,   -- data out
750
      ack_o       => spi_ack,     -- transfer acknowledge
751 2 zero_gravi
      -- clock generator --
752 12 zero_gravi
      clkgen_en_o => spi_cg_en,   -- enable clock generator
753 2 zero_gravi
      clkgen_i    => clk_gen,
754
      -- com lines --
755 12 zero_gravi
      spi_sck_o   => spi_sck_o,   -- SPI serial clock
756
      spi_sdo_o   => spi_sdo_o,   -- controller data out, peripheral data in
757
      spi_sdi_i   => spi_sdi_i,   -- controller data in, peripheral data out
758
      spi_csn_o   => spi_csn_o,   -- SPI CS
759 2 zero_gravi
      -- interrupt --
760 12 zero_gravi
      spi_irq_o   => spi_irq      -- transmission done interrupt
761 2 zero_gravi
    );
762
  end generate;
763
 
764
  neorv32_spi_inst_false:
765
  if (IO_SPI_USE = false) generate
766
    spi_rdata  <= (others => '0');
767
    spi_ack    <= '0';
768 6 zero_gravi
    spi_sck_o  <= '0';
769
    spi_sdo_o  <= '0';
770 2 zero_gravi
    spi_csn_o  <= (others => '1'); -- CSn lines are low-active
771
    spi_cg_en  <= '0';
772
    spi_irq    <= '0';
773
  end generate;
774
 
775
 
776
  -- Two-Wire Interface (TWI) ---------------------------------------------------------------
777
  -- -------------------------------------------------------------------------------------------
778
  neorv32_twi_inst_true:
779
  if (IO_TWI_USE = true) generate
780
    neorv32_twi_inst: neorv32_twi
781
    port map (
782
      -- host access --
783 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
784
      addr_i      => p_bus.addr,  -- address
785
      rden_i      => io_rden,     -- read enable
786
      wren_i      => io_wren,     -- write enable
787
      ben_i       => p_bus.ben,   -- byte write enable
788
      data_i      => p_bus.wdata, -- data in
789
      data_o      => twi_rdata,   -- data out
790
      ack_o       => twi_ack,     -- transfer acknowledge
791 2 zero_gravi
      -- clock generator --
792 12 zero_gravi
      clkgen_en_o => twi_cg_en,   -- enable clock generator
793 2 zero_gravi
      clkgen_i    => clk_gen,
794
      -- com lines --
795 12 zero_gravi
      twi_sda_io  => twi_sda_io,  -- serial data line
796
      twi_scl_io  => twi_scl_io,  -- serial clock line
797 2 zero_gravi
      -- interrupt --
798 12 zero_gravi
      twi_irq_o   => twi_irq      -- transfer done IRQ
799 2 zero_gravi
    );
800
  end generate;
801
 
802
  neorv32_twi_inst_false:
803
  if (IO_TWI_USE = false) generate
804
    twi_rdata  <= (others => '0');
805
    twi_ack    <= '0';
806
--  twi_sda_io <= 'H';
807
--  twi_scl_io <= 'H';
808
    twi_cg_en  <= '0';
809
    twi_irq    <= '0';
810
  end generate;
811
 
812
 
813
  -- Pulse-Width Modulation Controller (PWM) ------------------------------------------------
814
  -- -------------------------------------------------------------------------------------------
815
  neorv32_pwm_inst_true:
816
  if (IO_PWM_USE = true) generate
817
    neorv32_pwm_inst: neorv32_pwm
818
    port map (
819
      -- host access --
820 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
821
      addr_i      => p_bus.addr,  -- address
822
      rden_i      => io_rden,     -- read enable
823
      wren_i      => io_wren,     -- write enable
824
      ben_i       => p_bus.ben,   -- byte write enable
825
      data_i      => p_bus.wdata, -- data in
826
      data_o      => pwm_rdata,   -- data out
827
      ack_o       => pwm_ack,     -- transfer acknowledge
828 2 zero_gravi
      -- clock generator --
829 12 zero_gravi
      clkgen_en_o => pwm_cg_en,   -- enable clock generator
830 2 zero_gravi
      clkgen_i    => clk_gen,
831
      -- pwm output channels --
832
      pwm_o       => pwm_o
833
    );
834
  end generate;
835
 
836
  neorv32_pwm_inst_false:
837
  if (IO_PWM_USE = false) generate
838
    pwm_rdata <= (others => '0');
839
    pwm_ack   <= '0';
840
    pwm_cg_en <= '0';
841
    pwm_o     <= (others => '0');
842
  end generate;
843
 
844
 
845
  -- True Random Number Generator (TRNG) ----------------------------------------------------
846
  -- -------------------------------------------------------------------------------------------
847
  neorv32_trng_inst_true:
848
  if (IO_TRNG_USE = true) generate
849
    neorv32_trng_inst: neorv32_trng
850
    port map (
851
      -- host access --
852 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
853
      addr_i => p_bus.addr,  -- address
854
      rden_i => io_rden,     -- read enable
855
      wren_i => io_wren,     -- write enable
856
      ben_i  => p_bus.ben,   -- byte write enable
857
      data_i => p_bus.wdata, -- data in
858
      data_o => trng_rdata,  -- data out
859
      ack_o  => trng_ack     -- transfer acknowledge
860 2 zero_gravi
    );
861
  end generate;
862
 
863
  neorv32_trng_inst_false:
864
  if (IO_TRNG_USE = false) generate
865
    trng_rdata <= (others => '0');
866
    trng_ack   <= '0';
867
  end generate;
868
 
869
 
870 3 zero_gravi
  -- Dummy Device (DEVNULL) -----------------------------------------------------------------
871
  -- -------------------------------------------------------------------------------------------
872
  neorv32_devnull_inst_true:
873
  if (IO_DEVNULL_USE = true) generate
874
    neorv32_devnull_inst: neorv32_devnull
875
    port map (
876
      -- host access --
877
      clk_i  => clk_i,         -- global clock line
878 12 zero_gravi
      addr_i => p_bus.addr,    -- address
879 3 zero_gravi
      rden_i => io_rden,       -- read enable
880
      wren_i => io_wren,       -- write enable
881 12 zero_gravi
      ben_i  => p_bus.ben,     -- byte write enable
882
      data_i => p_bus.wdata,   -- data in
883 3 zero_gravi
      data_o => devnull_rdata, -- data out
884
      ack_o  => devnull_ack    -- transfer acknowledge
885
    );
886
  end generate;
887 12 zero_gravi
 
888 3 zero_gravi
  neorv32_devnull_inst_false:
889
  if (IO_DEVNULL_USE = false) generate
890
    devnull_rdata <= (others => '0');
891
    devnull_ack   <= '0';
892
  end generate;
893
 
894
 
895 12 zero_gravi
  -- System Configuration Information Memory (SYSINFO) --------------------------------------
896
  -- -------------------------------------------------------------------------------------------
897
  neorv32_sysinfo_inst: neorv32_sysinfo
898
  generic map (
899
    -- General --
900
    CLOCK_FREQUENCY   => CLOCK_FREQUENCY,   -- clock frequency of clk_i in Hz
901
    BOOTLOADER_USE    => BOOTLOADER_USE,    -- implement processor-internal bootloader?
902
    USER_CODE         => USER_CODE,         -- custom user code
903
    -- Memory configuration: Instruction memory --
904
    MEM_ISPACE_BASE   => MEM_ISPACE_BASE,   -- base address of instruction memory space
905
    MEM_ISPACE_SIZE   => MEM_ISPACE_SIZE,   -- total size of instruction memory space in byte
906
    MEM_INT_IMEM_USE  => MEM_INT_IMEM_USE,  -- implement processor-internal instruction memory
907
    MEM_INT_IMEM_SIZE => MEM_INT_IMEM_SIZE, -- size of processor-internal instruction memory in bytes
908
    MEM_INT_IMEM_ROM  => MEM_INT_IMEM_ROM,  -- implement processor-internal instruction memory as ROM
909
    -- Memory configuration: Data memory --
910
    MEM_DSPACE_BASE   => MEM_DSPACE_BASE,   -- base address of data memory space
911
    MEM_DSPACE_SIZE   => MEM_DSPACE_SIZE,   -- total size of data memory space in byte
912
    MEM_INT_DMEM_USE  => MEM_INT_DMEM_USE,  -- implement processor-internal data memory
913
    MEM_INT_DMEM_SIZE => MEM_INT_DMEM_SIZE, -- size of processor-internal data memory in bytes
914
    -- Memory configuration: External memory interface --
915
    MEM_EXT_USE       => MEM_EXT_USE,       -- implement external memory bus interface?
916
    -- Processor peripherals --
917
    IO_GPIO_USE       => IO_GPIO_USE,       -- implement general purpose input/output port unit (GPIO)?
918
    IO_MTIME_USE      => IO_MTIME_USE,      -- implement machine system timer (MTIME)?
919
    IO_UART_USE       => IO_UART_USE,       -- implement universal asynchronous receiver/transmitter (UART)?
920
    IO_SPI_USE        => IO_SPI_USE,        -- implement serial peripheral interface (SPI)?
921
    IO_TWI_USE        => IO_TWI_USE,        -- implement two-wire interface (TWI)?
922
    IO_PWM_USE        => IO_PWM_USE,        -- implement pulse-width modulation unit (PWM)?
923
    IO_WDT_USE        => IO_WDT_USE,        -- implement watch dog timer (WDT)?
924
    IO_TRNG_USE       => IO_TRNG_USE,       -- implement true random number generator (TRNG)?
925
    IO_DEVNULL_USE    => IO_DEVNULL_USE     -- implement dummy device (DEVNULL)?
926
  )
927
  port map (
928
    -- host access --
929
    clk_i  => clk_i,         -- global clock line
930
    addr_i => p_bus.addr,    -- address
931
    rden_i => io_rden,       -- read enable
932
    data_o => sysinfo_rdata, -- data out
933
    ack_o  => sysinfo_ack    -- transfer acknowledge
934
  );
935
 
936
 
937 2 zero_gravi
end neorv32_top_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.