OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_top.vhd] - Blame information for rev 36

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Processor Top Entity >>                                                          #
3
-- # ********************************************************************************************* #
4 18 zero_gravi
-- # This is the top entity of the NEORV32 PROCESSOR. Instantiate this unit in your own project    #
5 2 zero_gravi
-- # and define all the configuration generics according to your needs. Alternatively, you can use #
6 18 zero_gravi
-- # one of the alternative top entities provided in the "rtl/top_templates" folder.               #
7
-- #                                                                                               #
8 23 zero_gravi
-- # Check the processor's data sheet for more information: docs/NEORV32.pdf                       #
9 2 zero_gravi
-- # ********************************************************************************************* #
10
-- # BSD 3-Clause License                                                                          #
11
-- #                                                                                               #
12
-- # Copyright (c) 2020, Stephan Nolting. All rights reserved.                                     #
13
-- #                                                                                               #
14
-- # Redistribution and use in source and binary forms, with or without modification, are          #
15
-- # permitted provided that the following conditions are met:                                     #
16
-- #                                                                                               #
17
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
18
-- #    conditions and the following disclaimer.                                                   #
19
-- #                                                                                               #
20
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
21
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
22
-- #    provided with the distribution.                                                            #
23
-- #                                                                                               #
24
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
25
-- #    endorse or promote products derived from this software without specific prior written      #
26
-- #    permission.                                                                                #
27
-- #                                                                                               #
28
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
29
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
30
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
31
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
32
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
33
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
34
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
35
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
36
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
37
-- # ********************************************************************************************* #
38
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
39
-- #################################################################################################
40
 
41
library ieee;
42
use ieee.std_logic_1164.all;
43
use ieee.numeric_std.all;
44
 
45
library neorv32;
46
use neorv32.neorv32_package.all;
47
 
48
entity neorv32_top is
49
  generic (
50
    -- General --
51 12 zero_gravi
    CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
52 8 zero_gravi
    BOOTLOADER_USE               : boolean := true;   -- implement processor-internal bootloader?
53 12 zero_gravi
    USER_CODE                    : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
54 36 zero_gravi
    HW_THREAD_ID                 : std_ulogic_vector(31 downto 0) := (others => '0'); -- hardware thread id (hartid)
55 2 zero_gravi
    -- RISC-V CPU Extensions --
56 11 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
57 8 zero_gravi
    CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
58 11 zero_gravi
    CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement muld/div extension?
59 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
60 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
61
    CPU_EXTENSION_RISCV_Zifencei : boolean := true;   -- implement instruction stream sync.?
62 19 zero_gravi
    -- Extension Options --
63 23 zero_gravi
    FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
64 34 zero_gravi
    FAST_SHIFT_EN                : boolean := false; -- use barrel shifter for shift operations
65 15 zero_gravi
    -- Physical Memory Protection (PMP) --
66 23 zero_gravi
    PMP_USE                      : boolean := false;  -- implement PMP?
67
    PMP_NUM_REGIONS              : natural := 4;      -- number of regions (max 8)
68
    PMP_GRANULARITY              : natural := 14;     -- minimal region granularity (1=8B, 2=16B, 3=32B, ...) default is 64k
69
    -- Internal Instruction memory --
70 8 zero_gravi
    MEM_INT_IMEM_USE             : boolean := true;   -- implement processor-internal instruction memory
71
    MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
72
    MEM_INT_IMEM_ROM             : boolean := false;  -- implement processor-internal instruction memory as ROM
73 23 zero_gravi
    -- Internal Data memory --
74 8 zero_gravi
    MEM_INT_DMEM_USE             : boolean := true;   -- implement processor-internal data memory
75
    MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
76 23 zero_gravi
    -- External memory interface --
77 8 zero_gravi
    MEM_EXT_USE                  : boolean := false;  -- implement external memory bus interface?
78 2 zero_gravi
    -- Processor peripherals --
79 8 zero_gravi
    IO_GPIO_USE                  : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
80
    IO_MTIME_USE                 : boolean := true;   -- implement machine system timer (MTIME)?
81
    IO_UART_USE                  : boolean := true;   -- implement universal asynchronous receiver/transmitter (UART)?
82
    IO_SPI_USE                   : boolean := true;   -- implement serial peripheral interface (SPI)?
83
    IO_TWI_USE                   : boolean := true;   -- implement two-wire interface (TWI)?
84
    IO_PWM_USE                   : boolean := true;   -- implement pulse-width modulation unit (PWM)?
85
    IO_WDT_USE                   : boolean := true;   -- implement watch dog timer (WDT)?
86
    IO_TRNG_USE                  : boolean := false;  -- implement true random number generator (TRNG)?
87 34 zero_gravi
    IO_CFU0_USE                  : boolean := false;  -- implement custom functions unit 0 (CFU0)?
88
    IO_CFU1_USE                  : boolean := false   -- implement custom functions unit 1 (CFU1)?
89 2 zero_gravi
  );
90
  port (
91
    -- Global control --
92 34 zero_gravi
    clk_i       : in  std_ulogic := '0'; -- global clock, rising edge
93
    rstn_i      : in  std_ulogic := '0'; -- global reset, low-active, async
94 2 zero_gravi
    -- Wishbone bus interface (available if MEM_EXT_USE = true) --
95 36 zero_gravi
    wb_tag_o    : out std_ulogic_vector(02 downto 0); -- tag
96 34 zero_gravi
    wb_adr_o    : out std_ulogic_vector(31 downto 0); -- address
97
    wb_dat_i    : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- read data
98
    wb_dat_o    : out std_ulogic_vector(31 downto 0); -- write data
99
    wb_we_o     : out std_ulogic; -- read/write
100
    wb_sel_o    : out std_ulogic_vector(03 downto 0); -- byte enable
101
    wb_stb_o    : out std_ulogic; -- strobe
102
    wb_cyc_o    : out std_ulogic; -- valid cycle
103
    wb_ack_i    : in  std_ulogic := '0'; -- transfer acknowledge
104
    wb_err_i    : in  std_ulogic := '0'; -- transfer error
105 12 zero_gravi
    -- Advanced memory control signals (available if MEM_EXT_USE = true) --
106 34 zero_gravi
    fence_o     : out std_ulogic; -- indicates an executed FENCE operation
107
    fencei_o    : out std_ulogic; -- indicates an executed FENCEI operation
108 2 zero_gravi
    -- GPIO (available if IO_GPIO_USE = true) --
109 34 zero_gravi
    gpio_o      : out std_ulogic_vector(31 downto 0); -- parallel output
110
    gpio_i      : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- parallel input
111 2 zero_gravi
    -- UART (available if IO_UART_USE = true) --
112 34 zero_gravi
    uart_txd_o  : out std_ulogic; -- UART send data
113
    uart_rxd_i  : in  std_ulogic := '0'; -- UART receive data
114 2 zero_gravi
    -- SPI (available if IO_SPI_USE = true) --
115 34 zero_gravi
    spi_sck_o   : out std_ulogic; -- SPI serial clock
116
    spi_sdo_o   : out std_ulogic; -- controller data out, peripheral data in
117
    spi_sdi_i   : in  std_ulogic := '0'; -- controller data in, peripheral data out
118
    spi_csn_o   : out std_ulogic_vector(07 downto 0); -- SPI CS
119 2 zero_gravi
    -- TWI (available if IO_TWI_USE = true) --
120 35 zero_gravi
    twi_sda_io  : inout std_logic; -- twi serial data line
121
    twi_scl_io  : inout std_logic; -- twi serial clock line
122 2 zero_gravi
    -- PWM (available if IO_PWM_USE = true) --
123 34 zero_gravi
    pwm_o       : out std_ulogic_vector(03 downto 0); -- pwm channels
124 14 zero_gravi
    -- Interrupts --
125 34 zero_gravi
    mtime_irq_i : in  std_ulogic := '0'; -- machine timer interrupt, available if IO_MTIME_USE = false
126
    msw_irq_i   : in  std_ulogic := '0'; -- machine software interrupt
127
    mext_irq_i  : in  std_ulogic := '0'  -- machine external interrupt
128 2 zero_gravi
  );
129
end neorv32_top;
130
 
131
architecture neorv32_top_rtl of neorv32_top is
132
 
133 12 zero_gravi
  -- CPU boot address --
134 23 zero_gravi
  constant cpu_boot_addr_c : std_ulogic_vector(31 downto 0) := cond_sel_stdulogicvector_f(BOOTLOADER_USE, boot_rom_base_c, ispace_base_c);
135 12 zero_gravi
 
136 29 zero_gravi
  -- alignment check for internal memories --
137
  constant imem_align_check_c : std_ulogic_vector(index_size_f(MEM_INT_IMEM_SIZE)-1 downto 0) := (others => '0');
138
  constant dmem_align_check_c : std_ulogic_vector(index_size_f(MEM_INT_DMEM_SIZE)-1 downto 0) := (others => '0');
139
 
140 2 zero_gravi
  -- reset generator --
141
  signal rstn_i_sync0 : std_ulogic;
142
  signal rstn_i_sync1 : std_ulogic;
143
  signal rstn_i_sync2 : std_ulogic;
144
  signal rstn_gen     : std_ulogic_vector(3 downto 0);
145
  signal ext_rstn     : std_ulogic;
146
  signal sys_rstn     : std_ulogic;
147
  signal wdt_rstn     : std_ulogic;
148
 
149
  -- clock generator --
150
  signal clk_div    : std_ulogic_vector(11 downto 0);
151
  signal clk_div_ff : std_ulogic_vector(11 downto 0);
152
  signal clk_gen    : std_ulogic_vector(07 downto 0);
153
  signal wdt_cg_en  : std_ulogic;
154
  signal uart_cg_en : std_ulogic;
155
  signal spi_cg_en  : std_ulogic;
156
  signal twi_cg_en  : std_ulogic;
157
  signal pwm_cg_en  : std_ulogic;
158 34 zero_gravi
  signal cfu0_cg_en : std_ulogic;
159
  signal cfu1_cg_en : std_ulogic;
160 2 zero_gravi
 
161 12 zero_gravi
  -- bus interface --
162
  type bus_interface_t is record
163 11 zero_gravi
    addr   : std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
164
    rdata  : std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
165
    wdata  : std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
166
    ben    : std_ulogic_vector(03 downto 0); -- byte enable
167
    we     : std_ulogic; -- write enable
168
    re     : std_ulogic; -- read enable
169
    cancel : std_ulogic; -- cancel current transfer
170
    ack    : std_ulogic; -- bus transfer acknowledge
171
    err    : std_ulogic; -- bus transfer error
172 12 zero_gravi
    fence  : std_ulogic; -- fence(i) instruction executed
173 35 zero_gravi
    priv   : std_ulogic_vector(1 downto 0); -- current privilege level
174 36 zero_gravi
    src    : std_ulogic; -- access source
175 11 zero_gravi
  end record;
176 12 zero_gravi
  signal cpu_i, cpu_d, p_bus : bus_interface_t;
177 2 zero_gravi
 
178
  -- io space access --
179
  signal io_acc  : std_ulogic;
180
  signal io_rden : std_ulogic;
181
  signal io_wren : std_ulogic;
182
 
183
  -- read-back busses -
184
  signal imem_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
185
  signal imem_ack       : std_ulogic;
186
  signal dmem_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
187
  signal dmem_ack       : std_ulogic;
188
  signal bootrom_rdata  : std_ulogic_vector(data_width_c-1 downto 0);
189
  signal bootrom_ack    : std_ulogic;
190
  signal wishbone_rdata : std_ulogic_vector(data_width_c-1 downto 0);
191
  signal wishbone_ack   : std_ulogic;
192
  signal wishbone_err   : std_ulogic;
193
  signal gpio_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
194
  signal gpio_ack       : std_ulogic;
195
  signal mtime_rdata    : std_ulogic_vector(data_width_c-1 downto 0);
196
  signal mtime_ack      : std_ulogic;
197
  signal uart_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
198
  signal uart_ack       : std_ulogic;
199
  signal spi_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
200
  signal spi_ack        : std_ulogic;
201
  signal twi_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
202
  signal twi_ack        : std_ulogic;
203
  signal pwm_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
204
  signal pwm_ack        : std_ulogic;
205
  signal wdt_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
206
  signal wdt_ack        : std_ulogic;
207
  signal trng_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
208
  signal trng_ack       : std_ulogic;
209 34 zero_gravi
  signal cfu0_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
210
  signal cfu0_ack       : std_ulogic;
211
  signal cfu1_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
212
  signal cfu1_ack       : std_ulogic;
213 12 zero_gravi
  signal sysinfo_rdata  : std_ulogic_vector(data_width_c-1 downto 0);
214
  signal sysinfo_ack    : std_ulogic;
215 2 zero_gravi
 
216
  -- IRQs --
217
  signal mtime_irq : std_ulogic;
218 14 zero_gravi
  signal fast_irq  : std_ulogic_vector(3 downto 0);
219 2 zero_gravi
  signal gpio_irq  : std_ulogic;
220
  signal wdt_irq   : std_ulogic;
221
  signal uart_irq  : std_ulogic;
222
  signal spi_irq   : std_ulogic;
223
  signal twi_irq   : std_ulogic;
224
 
225 11 zero_gravi
  -- misc --
226
  signal mtime_time : std_ulogic_vector(63 downto 0); -- current system time from MTIME
227
 
228 2 zero_gravi
begin
229
 
230
  -- Sanity Checks --------------------------------------------------------------------------
231
  -- -------------------------------------------------------------------------------------------
232 36 zero_gravi
  -- clock --
233
  assert not (CLOCK_FREQUENCY = 0) report "NEORV32 PROCESSOR CONFIG ERROR! Core clock frequency (CLOCK_FREQUENCY) not specified." severity error;
234 23 zero_gravi
  -- internal bootloader ROM --
235
  assert not ((BOOTLOADER_USE = true) and (boot_rom_size_c > boot_rom_max_size_c)) report "NEORV32 PROCESSOR CONFIG ERROR! Boot ROM size out of range." severity error;
236
  assert not ((BOOTLOADER_USE = true) and (MEM_INT_IMEM_ROM = true)) report "NEORV32 PROCESSOR CONFIG WARNING! IMEM is configured as read-only. Bootloader will not be able to load new executables." severity warning;
237
  -- memory system - data/instruction fetch --
238
  assert not ((MEM_EXT_USE = false) and (MEM_INT_DMEM_USE = false)) report "NEORV32 PROCESSOR CONFIG ERROR! Core cannot fetch data without external memory interface and internal data memory." severity error;
239
  assert not ((MEM_EXT_USE = false) and (MEM_INT_IMEM_USE = false) and (BOOTLOADER_USE = false)) report "NEORV32 PROCESSOR CONFIG ERROR! Core cannot fetch instructions without external memory interface, internal data memory and bootloader." severity error;
240 36 zero_gravi
  -- memory system - size --
241
  assert not ((MEM_INT_DMEM_USE = true) and (is_power_of_two_f(MEM_INT_IMEM_SIZE) = false)) report "NEORV32 PROCESSOR CONFIG WARNING! MEM_INT_IMEM_SIZE should be a power of 2 to allow optimal hardware mapping." severity warning;
242
  assert not ((MEM_INT_IMEM_USE = true) and (is_power_of_two_f(MEM_INT_DMEM_SIZE) = false)) report "NEORV32 PROCESSOR CONFIG WARNING! MEM_INT_DMEM_SIZE should be a power of 2 to allow optimal hardware mapping." severity warning;
243 29 zero_gravi
  -- memory system - alignment --
244
  assert not (ispace_base_c(1 downto 0) /= "00") report "NEORV32 PROCESSOR CONFIG ERROR! Instruction memory space base address must be 4-byte-aligned." severity error;
245
  assert not (dspace_base_c(1 downto 0) /= "00") report "NEORV32 PROCESSOR CONFIG ERROR! Data memory space base address must be 4-byte-aligned." severity error;
246
  assert not ((ispace_base_c(index_size_f(MEM_INT_IMEM_SIZE)-1 downto 0) /= imem_align_check_c) and (MEM_INT_IMEM_USE = true)) report "NEORV32 PROCESSOR CONFIG ERROR! Instruction memory space base address has to be aligned to IMEM size." severity error;
247
  assert not ((dspace_base_c(index_size_f(MEM_INT_DMEM_SIZE)-1 downto 0) /= dmem_align_check_c) and (MEM_INT_DMEM_USE = true)) report "NEORV32 PROCESSOR CONFIG ERROR! Data memory space base address has to be aligned to DMEM size." severity error;
248 36 zero_gravi
  -- memory system - layout warning --
249 29 zero_gravi
  assert not (ispace_base_c /= x"00000000") report "NEORV32 PROCESSOR CONFIG WARNING! Non-default base address for instruction address space. Make sure this is sync with the software framework." severity warning;
250
  assert not (dspace_base_c /= x"80000000") report "NEORV32 PROCESSOR CONFIG WARNING! Non-default base address for data address space. Make sure this is sync with the software framework." severity warning;
251 36 zero_gravi
  -- (external) memory latency notifier (warning) --
252 32 zero_gravi
  assert not (MEM_EXT_USE = true) report "NEORV32 PROCESSOR CONFIG NOTE: Implementing external memory interface with max latency = " & integer'image(bus_timeout_c) & " cycles." severity warning;
253 33 zero_gravi
  -- external memory iterface protocol notifier (warning) --
254
  assert not ((MEM_EXT_USE = true) and (wb_pipe_mode_c = false)) report "NEORV32 PROCESSOR CONFIG NOTE: Implementing external memory interface using STANDARD Wishbone protocol." severity warning;
255
  assert not ((MEM_EXT_USE = true) and (wb_pipe_mode_c =  true)) report "NEORV32 PROCESSOR CONFIG NOTE: Implementing external memory interface using PIEPLINED Wishbone protocol." severity warning;
256 2 zero_gravi
 
257
 
258
  -- Reset Generator ------------------------------------------------------------------------
259
  -- -------------------------------------------------------------------------------------------
260
  reset_generator_sync: process(clk_i)
261
  begin
262
    -- make sure the external reset is free of metastability and has a minimal duration of 1 clock cycle
263
    if rising_edge(clk_i) then
264
      rstn_i_sync0 <= rstn_i;
265
      rstn_i_sync1 <= rstn_i_sync0;
266
      rstn_i_sync2 <= rstn_i_sync1;
267
    end if;
268
  end process reset_generator_sync;
269
 
270
  -- keep internal reset active for at least 4 clock cycles
271
  reset_generator: process(rstn_i_sync1, rstn_i_sync2, clk_i)
272
  begin
273 23 zero_gravi
    if ((rstn_i_sync1 and rstn_i_sync2) = '0') then -- signal stable?
274 2 zero_gravi
      rstn_gen <= (others => '0');
275
    elsif rising_edge(clk_i) then
276
      rstn_gen <= rstn_gen(rstn_gen'left-1 downto 0) & '1';
277
    end if;
278
  end process reset_generator;
279
 
280
  ext_rstn <= rstn_gen(rstn_gen'left); -- the beautified external reset signal
281 23 zero_gravi
  sys_rstn <= ext_rstn and wdt_rstn;   -- system reset - can also be triggered by watchdog
282 2 zero_gravi
 
283
 
284
  -- Clock Generator ------------------------------------------------------------------------
285
  -- -------------------------------------------------------------------------------------------
286
  clock_generator: process(sys_rstn, clk_i)
287
  begin
288
    if (sys_rstn = '0') then
289
      clk_div    <= (others => '0');
290
      clk_div_ff <= (others => '0');
291
    elsif rising_edge(clk_i) then
292 23 zero_gravi
      -- fresh clocks anyone? --
293 34 zero_gravi
      if ((wdt_cg_en or uart_cg_en or spi_cg_en or twi_cg_en or pwm_cg_en or cfu0_cg_en or cfu1_cg_en) = '1') then
294 23 zero_gravi
        clk_div <= std_ulogic_vector(unsigned(clk_div) + 1);
295 2 zero_gravi
      end if;
296 23 zero_gravi
      clk_div_ff <= clk_div;
297 2 zero_gravi
    end if;
298
  end process clock_generator;
299
 
300 23 zero_gravi
  -- clock enables: rising edge detectors --
301
  clock_generator_edge: process(clk_i)
302
  begin
303
    if rising_edge(clk_i) then
304
      clk_gen(clk_div2_c)    <= clk_div(0)  and (not clk_div_ff(0));  -- CLK/2
305
      clk_gen(clk_div4_c)    <= clk_div(1)  and (not clk_div_ff(1));  -- CLK/4
306
      clk_gen(clk_div8_c)    <= clk_div(2)  and (not clk_div_ff(2));  -- CLK/8
307
      clk_gen(clk_div64_c)   <= clk_div(5)  and (not clk_div_ff(5));  -- CLK/64
308
      clk_gen(clk_div128_c)  <= clk_div(6)  and (not clk_div_ff(6));  -- CLK/128
309
      clk_gen(clk_div1024_c) <= clk_div(9)  and (not clk_div_ff(9));  -- CLK/1024
310
      clk_gen(clk_div2048_c) <= clk_div(10) and (not clk_div_ff(10)); -- CLK/2048
311
      clk_gen(clk_div4096_c) <= clk_div(11) and (not clk_div_ff(11)); -- CLK/4096
312
    end if;
313
  end process clock_generator_edge;
314 2 zero_gravi
 
315
 
316
  -- CPU ------------------------------------------------------------------------------------
317
  -- -------------------------------------------------------------------------------------------
318
  neorv32_cpu_inst: neorv32_cpu
319
  generic map (
320
    -- General --
321 36 zero_gravi
    HW_THREAD_ID                 => HW_THREAD_ID,    -- hardware thread id
322 25 zero_gravi
    CPU_BOOT_ADDR                => cpu_boot_addr_c, -- cpu boot address
323 2 zero_gravi
    -- RISC-V CPU Extensions --
324 8 zero_gravi
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,        -- implement compressed extension?
325
    CPU_EXTENSION_RISCV_E        => CPU_EXTENSION_RISCV_E,        -- implement embedded RF extension?
326
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,        -- implement muld/div extension?
327 15 zero_gravi
    CPU_EXTENSION_RISCV_U        => CPU_EXTENSION_RISCV_U,        -- implement user mode extension?
328 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
329
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
330 19 zero_gravi
    -- Extension Options --
331 25 zero_gravi
    FAST_MUL_EN                  => FAST_MUL_EN,     -- use DSPs for M extension's multiplier
332 34 zero_gravi
    FAST_SHIFT_EN                => FAST_SHIFT_EN,   -- use barrel shifter for shift operations
333 15 zero_gravi
    -- Physical Memory Protection (PMP) --
334
    PMP_USE                      => PMP_USE,         -- implement PMP?
335 16 zero_gravi
    PMP_NUM_REGIONS              => PMP_NUM_REGIONS, -- number of regions (max 8)
336 30 zero_gravi
    PMP_GRANULARITY              => PMP_GRANULARITY  -- minimal region granularity (1=8B, 2=16B, 3=32B, ...) default is 64k
337 2 zero_gravi
  )
338
  port map (
339
    -- global control --
340 12 zero_gravi
    clk_i          => clk_i,        -- global clock, rising edge
341
    rstn_i         => sys_rstn,     -- global reset, low-active, async
342
    -- instruction bus interface --
343
    i_bus_addr_o   => cpu_i.addr,   -- bus access address
344
    i_bus_rdata_i  => cpu_i.rdata,  -- bus read data
345
    i_bus_wdata_o  => cpu_i.wdata,  -- bus write data
346
    i_bus_ben_o    => cpu_i.ben,    -- byte enable
347
    i_bus_we_o     => cpu_i.we,     -- write enable
348
    i_bus_re_o     => cpu_i.re,     -- read enable
349
    i_bus_cancel_o => cpu_i.cancel, -- cancel current bus transaction
350
    i_bus_ack_i    => cpu_i.ack,    -- bus transfer acknowledge
351
    i_bus_err_i    => cpu_i.err,    -- bus transfer error
352
    i_bus_fence_o  => cpu_i.fence,  -- executed FENCEI operation
353 35 zero_gravi
    i_bus_priv_o   => cpu_i.priv,   -- privilege level
354 12 zero_gravi
    -- data bus interface --
355
    d_bus_addr_o   => cpu_d.addr,   -- bus access address
356
    d_bus_rdata_i  => cpu_d.rdata,  -- bus read data
357
    d_bus_wdata_o  => cpu_d.wdata,  -- bus write data
358
    d_bus_ben_o    => cpu_d.ben,    -- byte enable
359
    d_bus_we_o     => cpu_d.we,     -- write enable
360
    d_bus_re_o     => cpu_d.re,     -- read enable
361
    d_bus_cancel_o => cpu_d.cancel, -- cancel current bus transaction
362
    d_bus_ack_i    => cpu_d.ack,    -- bus transfer acknowledge
363
    d_bus_err_i    => cpu_d.err,    -- bus transfer error
364
    d_bus_fence_o  => cpu_d.fence,  -- executed FENCE operation
365 35 zero_gravi
    d_bus_priv_o   => cpu_d.priv,   -- privilege level
366 11 zero_gravi
    -- system time input from MTIME --
367 12 zero_gravi
    time_i         => mtime_time,   -- current system time
368 14 zero_gravi
    -- interrupts (risc-v compliant) --
369
    msw_irq_i      => msw_irq_i,    -- machine software interrupt
370
    mext_irq_i     => mext_irq_i,   -- machine external interrupt request
371
    mtime_irq_i    => mtime_irq,    -- machine timer interrupt
372
    -- fast interrupts (custom) --
373
    firq_i         => fast_irq
374 2 zero_gravi
  );
375
 
376 36 zero_gravi
  -- misc --
377
  cpu_i.src <= '1';
378
  cpu_d.src <= '0';
379
 
380 14 zero_gravi
  -- advanced memory control --
381
  fence_o  <= cpu_d.fence; -- indicates an executed FENCE operation
382
  fencei_o <= cpu_i.fence; -- indicates an executed FENCEI operation
383 2 zero_gravi
 
384 14 zero_gravi
  -- fast interrupts --
385 34 zero_gravi
  fast_irq(0) <= wdt_irq;            -- highest priority, watchdog timeout interrupt
386
  fast_irq(1) <= gpio_irq;           -- GPIO input pin-change interrupt
387
  fast_irq(2) <= uart_irq;           -- UART TX done or RX complete interrupt
388 14 zero_gravi
  fast_irq(3) <= spi_irq or twi_irq; -- lowest priority, can be triggered by SPI or TWI
389
 
390
 
391 12 zero_gravi
  -- CPU Crossbar Switch --------------------------------------------------------------------
392
  -- -------------------------------------------------------------------------------------------
393
  neorv32_busswitch_inst: neorv32_busswitch
394
  generic map (
395
    PORT_CA_READ_ONLY => false, -- set if controller port A is read-only
396
    PORT_CB_READ_ONLY => true   -- set if controller port B is read-only
397
  )
398
  port map (
399
    -- global control --
400
    clk_i           => clk_i,        -- global clock, rising edge
401
    rstn_i          => sys_rstn,     -- global reset, low-active, async
402
    -- controller interface a --
403
    ca_bus_addr_i   => cpu_d.addr,   -- bus access address
404
    ca_bus_rdata_o  => cpu_d.rdata,  -- bus read data
405
    ca_bus_wdata_i  => cpu_d.wdata,  -- bus write data
406
    ca_bus_ben_i    => cpu_d.ben,    -- byte enable
407
    ca_bus_we_i     => cpu_d.we,     -- write enable
408
    ca_bus_re_i     => cpu_d.re,     -- read enable
409
    ca_bus_cancel_i => cpu_d.cancel, -- cancel current bus transaction
410
    ca_bus_ack_o    => cpu_d.ack,    -- bus transfer acknowledge
411
    ca_bus_err_o    => cpu_d.err,    -- bus transfer error
412
    -- controller interface b --
413
    cb_bus_addr_i   => cpu_i.addr,   -- bus access address
414
    cb_bus_rdata_o  => cpu_i.rdata,  -- bus read data
415
    cb_bus_wdata_i  => cpu_i.wdata,  -- bus write data
416
    cb_bus_ben_i    => cpu_i.ben,    -- byte enable
417
    cb_bus_we_i     => cpu_i.we,     -- write enable
418
    cb_bus_re_i     => cpu_i.re,     -- read enable
419
    cb_bus_cancel_i => cpu_i.cancel, -- cancel current bus transaction
420
    cb_bus_ack_o    => cpu_i.ack,    -- bus transfer acknowledge
421
    cb_bus_err_o    => cpu_i.err,    -- bus transfer error
422
    -- peripheral bus --
423 36 zero_gravi
    p_bus_src_o     => p_bus.src,    -- access source: 0 = A (data), 1 = B (instructions)
424 12 zero_gravi
    p_bus_addr_o    => p_bus.addr,   -- bus access address
425
    p_bus_rdata_i   => p_bus.rdata,  -- bus read data
426
    p_bus_wdata_o   => p_bus.wdata,  -- bus write data
427
    p_bus_ben_o     => p_bus.ben,    -- byte enable
428
    p_bus_we_o      => p_bus.we,     -- write enable
429
    p_bus_re_o      => p_bus.re,     -- read enable
430
    p_bus_cancel_o  => p_bus.cancel, -- cancel current bus transaction
431
    p_bus_ack_i     => p_bus.ack,    -- bus transfer acknowledge
432
    p_bus_err_i     => p_bus.err     -- bus transfer error
433
  );
434 2 zero_gravi
 
435 14 zero_gravi
  -- processor bus: CPU data input --
436 12 zero_gravi
  p_bus.rdata <= (imem_rdata or dmem_rdata or bootrom_rdata) or wishbone_rdata or (gpio_rdata or mtime_rdata or uart_rdata or
437 34 zero_gravi
                 spi_rdata or twi_rdata or pwm_rdata or wdt_rdata or trng_rdata or cfu0_rdata or cfu1_rdata or sysinfo_rdata);
438 2 zero_gravi
 
439 14 zero_gravi
  -- processor bus: CPU data ACK input --
440 12 zero_gravi
  p_bus.ack <= (imem_ack or dmem_ack or bootrom_ack) or wishbone_ack or (gpio_ack or mtime_ack or uart_ack or
441 34 zero_gravi
               spi_ack or twi_ack or pwm_ack or wdt_ack or trng_ack or cfu0_ack or cfu1_ack or sysinfo_ack);
442 12 zero_gravi
 
443 14 zero_gravi
  -- processor bus: CPU data bus error input --
444 12 zero_gravi
  p_bus.err <= wishbone_err;
445
 
446 36 zero_gravi
  -- current CPU privilege level --
447
  p_bus.priv <= cpu_i.priv; -- cpu_i.priv == cpu_d.priv
448 12 zero_gravi
 
449 36 zero_gravi
 
450 2 zero_gravi
  -- Processor-Internal Instruction Memory (IMEM) -------------------------------------------
451
  -- -------------------------------------------------------------------------------------------
452
  neorv32_int_imem_inst_true:
453
  if (MEM_INT_IMEM_USE = true) generate
454
    neorv32_int_imem_inst: neorv32_imem
455
    generic map (
456 23 zero_gravi
      IMEM_BASE      => imem_base_c,       -- memory base address
457 2 zero_gravi
      IMEM_SIZE      => MEM_INT_IMEM_SIZE, -- processor-internal instruction memory size in bytes
458
      IMEM_AS_ROM    => MEM_INT_IMEM_ROM,  -- implement IMEM as read-only memory?
459
      BOOTLOADER_USE => BOOTLOADER_USE     -- implement and use bootloader?
460
    )
461
    port map (
462 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
463
      rden_i => p_bus.re,    -- read enable
464
      wren_i => p_bus.we,    -- write enable
465
      ben_i  => p_bus.ben,   -- byte write enable
466
      upen_i => '1',         -- update enable
467
      addr_i => p_bus.addr,  -- address
468
      data_i => p_bus.wdata, -- data in
469
      data_o => imem_rdata,  -- data out
470
      ack_o  => imem_ack     -- transfer acknowledge
471 2 zero_gravi
    );
472
  end generate;
473
 
474
  neorv32_int_imem_inst_false:
475
  if (MEM_INT_IMEM_USE = false) generate
476
    imem_rdata <= (others => '0');
477
    imem_ack   <= '0';
478
  end generate;
479
 
480
 
481
  -- Processor-Internal Data Memory (DMEM) --------------------------------------------------
482
  -- -------------------------------------------------------------------------------------------
483
  neorv32_int_dmem_inst_true:
484
  if (MEM_INT_DMEM_USE = true) generate
485
    neorv32_int_dmem_inst: neorv32_dmem
486
    generic map (
487 23 zero_gravi
      DMEM_BASE => dmem_base_c,      -- memory base address
488 2 zero_gravi
      DMEM_SIZE => MEM_INT_DMEM_SIZE -- processor-internal data memory size in bytes
489
    )
490
    port map (
491 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
492
      rden_i => p_bus.re,    -- read enable
493
      wren_i => p_bus.we,    -- write enable
494
      ben_i  => p_bus.ben,   -- byte write enable
495
      addr_i => p_bus.addr,  -- address
496
      data_i => p_bus.wdata, -- data in
497
      data_o => dmem_rdata,  -- data out
498
      ack_o  => dmem_ack     -- transfer acknowledge
499 2 zero_gravi
    );
500
  end generate;
501
 
502
  neorv32_int_dmem_inst_false:
503
  if (MEM_INT_DMEM_USE = false) generate
504
    dmem_rdata <= (others => '0');
505
    dmem_ack   <= '0';
506
  end generate;
507
 
508
 
509
  -- Processor-Internal Bootloader ROM (BOOTROM) --------------------------------------------
510
  -- -------------------------------------------------------------------------------------------
511
  neorv32_boot_rom_inst_true:
512
  if (BOOTLOADER_USE = true) generate
513
    neorv32_boot_rom_inst: neorv32_boot_rom
514 23 zero_gravi
    generic map (
515
      BOOTROM_BASE => boot_rom_base_c, -- boot ROM base address
516
      BOOTROM_SIZE => boot_rom_size_c  -- processor-internal boot TOM memory size in bytes
517
    )
518 2 zero_gravi
    port map (
519
      clk_i  => clk_i,         -- global clock line
520 12 zero_gravi
      rden_i => p_bus.re,      -- read enable
521
      addr_i => p_bus.addr,    -- address
522 2 zero_gravi
      data_o => bootrom_rdata, -- data out
523
      ack_o  => bootrom_ack    -- transfer acknowledge
524
    );
525
  end generate;
526
 
527
  neorv32_boot_rom_inst_false:
528
  if (BOOTLOADER_USE = false) generate
529
    bootrom_rdata <= (others => '0');
530
    bootrom_ack   <= '0';
531
  end generate;
532
 
533
 
534
  -- External Wishbone Gateway (WISHBONE) ---------------------------------------------------
535
  -- -------------------------------------------------------------------------------------------
536
  neorv32_wishbone_inst_true:
537
  if (MEM_EXT_USE = true) generate
538
    neorv32_wishbone_inst: neorv32_wishbone
539
    generic map (
540 35 zero_gravi
      WB_PIPELINED_MODE => wb_pipe_mode_c,     -- false: classic/standard wishbone mode, true: pipelined wishbone mode
541 23 zero_gravi
      -- Internal instruction memory --
542 35 zero_gravi
      MEM_INT_IMEM_USE  => MEM_INT_IMEM_USE,   -- implement processor-internal instruction memory
543
      MEM_INT_IMEM_SIZE => MEM_INT_IMEM_SIZE,  -- size of processor-internal instruction memory in bytes
544 23 zero_gravi
      -- Internal data memory --
545 35 zero_gravi
      MEM_INT_DMEM_USE  => MEM_INT_DMEM_USE,   -- implement processor-internal data memory
546
      MEM_INT_DMEM_SIZE => MEM_INT_DMEM_SIZE   -- size of processor-internal data memory in bytes
547 2 zero_gravi
    )
548
    port map (
549
      -- global control --
550
      clk_i    => clk_i,          -- global clock line
551
      rstn_i   => sys_rstn,       -- global reset line, low-active
552
      -- host access --
553 36 zero_gravi
      src_i    => p_bus.src,      -- access type (0: data, 1:instruction)
554 12 zero_gravi
      addr_i   => p_bus.addr,     -- address
555
      rden_i   => p_bus.re,       -- read enable
556
      wren_i   => p_bus.we,       -- write enable
557
      ben_i    => p_bus.ben,      -- byte write enable
558
      data_i   => p_bus.wdata,    -- data in
559 2 zero_gravi
      data_o   => wishbone_rdata, -- data out
560 12 zero_gravi
      cancel_i => p_bus.cancel,   -- cancel current transaction
561 2 zero_gravi
      ack_o    => wishbone_ack,   -- transfer acknowledge
562
      err_o    => wishbone_err,   -- transfer error
563 36 zero_gravi
      priv_i   => p_bus.priv,     -- current CPU privilege level
564 2 zero_gravi
      -- wishbone interface --
565 36 zero_gravi
      wb_tag_o => wb_tag_o,       -- tag
566 2 zero_gravi
      wb_adr_o => wb_adr_o,       -- address
567
      wb_dat_i => wb_dat_i,       -- read data
568
      wb_dat_o => wb_dat_o,       -- write data
569
      wb_we_o  => wb_we_o,        -- read/write
570
      wb_sel_o => wb_sel_o,       -- byte enable
571
      wb_stb_o => wb_stb_o,       -- strobe
572
      wb_cyc_o => wb_cyc_o,       -- valid cycle
573
      wb_ack_i => wb_ack_i,       -- transfer acknowledge
574
      wb_err_i => wb_err_i        -- transfer error
575
    );
576
  end generate;
577
 
578
  neorv32_wishbone_inst_false:
579
  if (MEM_EXT_USE = false) generate
580
    wishbone_rdata <= (others => '0');
581
    wishbone_ack   <= '0';
582
    wishbone_err   <= '0';
583
    --
584
    wb_adr_o <= (others => '0');
585
    wb_dat_o <= (others => '0');
586
    wb_we_o  <= '0';
587
    wb_sel_o <= (others => '0');
588
    wb_stb_o <= '0';
589
    wb_cyc_o <= '0';
590 36 zero_gravi
    wb_tag_o <= (others => '0');
591 2 zero_gravi
  end generate;
592
 
593
 
594
  -- IO Access? -----------------------------------------------------------------------------
595
  -- -------------------------------------------------------------------------------------------
596 12 zero_gravi
  io_acc  <= '1' when (p_bus.addr(data_width_c-1 downto index_size_f(io_size_c)) = io_base_c(data_width_c-1 downto index_size_f(io_size_c))) else '0';
597
  io_rden <= io_acc and p_bus.re;
598 22 zero_gravi
  -- the peripheral/IO devices in the IO area can only be written in word mode (reduces HW complexity)
599
  io_wren <= io_acc and p_bus.we and p_bus.ben(3) and p_bus.ben(2) and p_bus.ben(1) and p_bus.ben(0);
600 2 zero_gravi
 
601
 
602
  -- General Purpose Input/Output Port (GPIO) -----------------------------------------------
603
  -- -------------------------------------------------------------------------------------------
604
  neorv32_gpio_inst_true:
605
  if (IO_GPIO_USE = true) generate
606
    neorv32_gpio_inst: neorv32_gpio
607
    port map (
608
      -- host access --
609 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
610
      addr_i => p_bus.addr,  -- address
611
      rden_i => io_rden,     -- read enable
612
      wren_i => io_wren,     -- write enable
613
      data_i => p_bus.wdata, -- data in
614
      data_o => gpio_rdata,  -- data out
615
      ack_o  => gpio_ack,    -- transfer acknowledge
616 2 zero_gravi
      -- parallel io --
617
      gpio_o => gpio_o,
618
      gpio_i => gpio_i,
619
      -- interrupt --
620 12 zero_gravi
      irq_o  => gpio_irq     -- pin-change interrupt
621 2 zero_gravi
    );
622
  end generate;
623
 
624
  neorv32_gpio_inst_false:
625
  if (IO_GPIO_USE = false) generate
626
    gpio_rdata <= (others => '0');
627
    gpio_ack   <= '0';
628
    gpio_o     <= (others => '0');
629
    gpio_irq   <= '0';
630
  end generate;
631
 
632
 
633
  -- Watch Dog Timer (WDT) ------------------------------------------------------------------
634
  -- -------------------------------------------------------------------------------------------
635
  neorv32_wdt_inst_true:
636
  if (IO_WDT_USE = true) generate
637
    neorv32_wdt_inst: neorv32_wdt
638
    port map (
639
      -- host access --
640 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
641
      rstn_i      => ext_rstn,    -- global reset line, low-active
642
      rden_i      => io_rden,     -- read enable
643
      wren_i      => io_wren,     -- write enable
644
      addr_i      => p_bus.addr,  -- address
645
      data_i      => p_bus.wdata, -- data in
646
      data_o      => wdt_rdata,   -- data out
647
      ack_o       => wdt_ack,     -- transfer acknowledge
648 2 zero_gravi
      -- clock generator --
649 12 zero_gravi
      clkgen_en_o => wdt_cg_en,   -- enable clock generator
650 2 zero_gravi
      clkgen_i    => clk_gen,
651
      -- timeout event --
652 12 zero_gravi
      irq_o       => wdt_irq,     -- timeout IRQ
653
      rstn_o      => wdt_rstn     -- timeout reset, low_active, use it as async!
654 2 zero_gravi
    );
655
  end generate;
656
 
657
  neorv32_wdt_inst_false:
658
  if (IO_WDT_USE = false) generate
659
    wdt_rdata <= (others => '0');
660
    wdt_ack   <= '0';
661
    wdt_irq   <= '0';
662
    wdt_rstn  <= '1';
663
    wdt_cg_en <= '0';
664
  end generate;
665
 
666
 
667
  -- Machine System Timer (MTIME) -----------------------------------------------------------
668
  -- -------------------------------------------------------------------------------------------
669
  neorv32_mtime_inst_true:
670
  if (IO_MTIME_USE = true) generate
671
    neorv32_mtime_inst: neorv32_mtime
672
    port map (
673
      -- host access --
674 12 zero_gravi
      clk_i     => clk_i,       -- global clock line
675
      rstn_i    => sys_rstn,    -- global reset, low-active, async
676
      addr_i    => p_bus.addr,  -- address
677
      rden_i    => io_rden,     -- read enable
678
      wren_i    => io_wren,     -- write enable
679
      data_i    => p_bus.wdata, -- data in
680
      data_o    => mtime_rdata, -- data out
681
      ack_o     => mtime_ack,   -- transfer acknowledge
682 11 zero_gravi
      -- time output for CPU --
683 12 zero_gravi
      time_o    => mtime_time,  -- current system time
684 2 zero_gravi
      -- interrupt --
685 12 zero_gravi
      irq_o     => mtime_irq    -- interrupt request
686 2 zero_gravi
    );
687
  end generate;
688
 
689
  neorv32_mtime_inst_false:
690
  if (IO_MTIME_USE = false) generate
691
    mtime_rdata <= (others => '0');
692 11 zero_gravi
    mtime_time  <= (others => '0');
693 2 zero_gravi
    mtime_ack   <= '0';
694 34 zero_gravi
    mtime_irq   <= mtime_irq_i; -- use external machine timer interrupt
695 2 zero_gravi
  end generate;
696
 
697
 
698
  -- Universal Asynchronous Receiver/Transmitter (UART) -------------------------------------
699
  -- -------------------------------------------------------------------------------------------
700
  neorv32_uart_inst_true:
701
  if (IO_UART_USE = true) generate
702
    neorv32_uart_inst: neorv32_uart
703
    port map (
704
      -- host access --
705 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
706
      addr_i      => p_bus.addr,  -- address
707
      rden_i      => io_rden,     -- read enable
708
      wren_i      => io_wren,     -- write enable
709
      data_i      => p_bus.wdata, -- data in
710
      data_o      => uart_rdata,  -- data out
711
      ack_o       => uart_ack,    -- transfer acknowledge
712 2 zero_gravi
      -- clock generator --
713 12 zero_gravi
      clkgen_en_o => uart_cg_en,  -- enable clock generator
714 2 zero_gravi
      clkgen_i    => clk_gen,
715
      -- com lines --
716
      uart_txd_o  => uart_txd_o,
717
      uart_rxd_i  => uart_rxd_i,
718
      -- interrupts --
719 12 zero_gravi
      uart_irq_o  => uart_irq     -- uart rx/tx interrupt
720 2 zero_gravi
    );
721
  end generate;
722
 
723
  neorv32_uart_inst_false:
724
  if (IO_UART_USE = false) generate
725
    uart_rdata <= (others => '0');
726
    uart_ack   <= '0';
727
    uart_txd_o <= '0';
728
    uart_cg_en <= '0';
729
    uart_irq   <= '0';
730
  end generate;
731
 
732
 
733
  -- Serial Peripheral Interface (SPI) ------------------------------------------------------
734
  -- -------------------------------------------------------------------------------------------
735
  neorv32_spi_inst_true:
736
  if (IO_SPI_USE = true) generate
737
    neorv32_spi_inst: neorv32_spi
738
    port map (
739
      -- host access --
740 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
741
      addr_i      => p_bus.addr,  -- address
742
      rden_i      => io_rden,     -- read enable
743
      wren_i      => io_wren,     -- write enable
744
      data_i      => p_bus.wdata, -- data in
745
      data_o      => spi_rdata,   -- data out
746
      ack_o       => spi_ack,     -- transfer acknowledge
747 2 zero_gravi
      -- clock generator --
748 12 zero_gravi
      clkgen_en_o => spi_cg_en,   -- enable clock generator
749 2 zero_gravi
      clkgen_i    => clk_gen,
750
      -- com lines --
751 12 zero_gravi
      spi_sck_o   => spi_sck_o,   -- SPI serial clock
752
      spi_sdo_o   => spi_sdo_o,   -- controller data out, peripheral data in
753
      spi_sdi_i   => spi_sdi_i,   -- controller data in, peripheral data out
754
      spi_csn_o   => spi_csn_o,   -- SPI CS
755 2 zero_gravi
      -- interrupt --
756 12 zero_gravi
      spi_irq_o   => spi_irq      -- transmission done interrupt
757 2 zero_gravi
    );
758
  end generate;
759
 
760
  neorv32_spi_inst_false:
761
  if (IO_SPI_USE = false) generate
762
    spi_rdata  <= (others => '0');
763
    spi_ack    <= '0';
764 6 zero_gravi
    spi_sck_o  <= '0';
765
    spi_sdo_o  <= '0';
766 2 zero_gravi
    spi_csn_o  <= (others => '1'); -- CSn lines are low-active
767
    spi_cg_en  <= '0';
768
    spi_irq    <= '0';
769
  end generate;
770
 
771
 
772
  -- Two-Wire Interface (TWI) ---------------------------------------------------------------
773
  -- -------------------------------------------------------------------------------------------
774
  neorv32_twi_inst_true:
775
  if (IO_TWI_USE = true) generate
776
    neorv32_twi_inst: neorv32_twi
777
    port map (
778
      -- host access --
779 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
780
      addr_i      => p_bus.addr,  -- address
781
      rden_i      => io_rden,     -- read enable
782
      wren_i      => io_wren,     -- write enable
783
      data_i      => p_bus.wdata, -- data in
784
      data_o      => twi_rdata,   -- data out
785
      ack_o       => twi_ack,     -- transfer acknowledge
786 2 zero_gravi
      -- clock generator --
787 12 zero_gravi
      clkgen_en_o => twi_cg_en,   -- enable clock generator
788 2 zero_gravi
      clkgen_i    => clk_gen,
789
      -- com lines --
790 12 zero_gravi
      twi_sda_io  => twi_sda_io,  -- serial data line
791
      twi_scl_io  => twi_scl_io,  -- serial clock line
792 2 zero_gravi
      -- interrupt --
793 12 zero_gravi
      twi_irq_o   => twi_irq      -- transfer done IRQ
794 2 zero_gravi
    );
795
  end generate;
796
 
797
  neorv32_twi_inst_false:
798
  if (IO_TWI_USE = false) generate
799
    twi_rdata  <= (others => '0');
800
    twi_ack    <= '0';
801 35 zero_gravi
--  twi_sda_io <= 'Z';
802
--  twi_scl_io <= 'Z';
803 2 zero_gravi
    twi_cg_en  <= '0';
804
    twi_irq    <= '0';
805
  end generate;
806
 
807
 
808
  -- Pulse-Width Modulation Controller (PWM) ------------------------------------------------
809
  -- -------------------------------------------------------------------------------------------
810
  neorv32_pwm_inst_true:
811
  if (IO_PWM_USE = true) generate
812
    neorv32_pwm_inst: neorv32_pwm
813
    port map (
814
      -- host access --
815 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
816
      addr_i      => p_bus.addr,  -- address
817
      rden_i      => io_rden,     -- read enable
818
      wren_i      => io_wren,     -- write enable
819
      data_i      => p_bus.wdata, -- data in
820
      data_o      => pwm_rdata,   -- data out
821
      ack_o       => pwm_ack,     -- transfer acknowledge
822 2 zero_gravi
      -- clock generator --
823 12 zero_gravi
      clkgen_en_o => pwm_cg_en,   -- enable clock generator
824 2 zero_gravi
      clkgen_i    => clk_gen,
825
      -- pwm output channels --
826
      pwm_o       => pwm_o
827
    );
828
  end generate;
829
 
830
  neorv32_pwm_inst_false:
831
  if (IO_PWM_USE = false) generate
832
    pwm_rdata <= (others => '0');
833
    pwm_ack   <= '0';
834
    pwm_cg_en <= '0';
835
    pwm_o     <= (others => '0');
836
  end generate;
837
 
838
 
839
  -- True Random Number Generator (TRNG) ----------------------------------------------------
840
  -- -------------------------------------------------------------------------------------------
841
  neorv32_trng_inst_true:
842
  if (IO_TRNG_USE = true) generate
843
    neorv32_trng_inst: neorv32_trng
844
    port map (
845
      -- host access --
846 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
847
      addr_i => p_bus.addr,  -- address
848
      rden_i => io_rden,     -- read enable
849
      wren_i => io_wren,     -- write enable
850
      data_i => p_bus.wdata, -- data in
851
      data_o => trng_rdata,  -- data out
852
      ack_o  => trng_ack     -- transfer acknowledge
853 2 zero_gravi
    );
854
  end generate;
855
 
856
  neorv32_trng_inst_false:
857
  if (IO_TRNG_USE = false) generate
858
    trng_rdata <= (others => '0');
859
    trng_ack   <= '0';
860
  end generate;
861
 
862
 
863 34 zero_gravi
  -- Custom Functions Unit 0 (CFU0) ---------------------------------------------------------
864 23 zero_gravi
  -- -------------------------------------------------------------------------------------------
865 34 zero_gravi
  neorv32_cfu0_inst_true:
866
  if (IO_CFU0_USE = true) generate
867
    neorv32_cfu0_inst: neorv32_cfu0
868 23 zero_gravi
    port map (
869
      -- host access --
870
      clk_i       => clk_i,       -- global clock line
871
      rstn_i      => sys_rstn,    -- global reset line, low-active, use as async
872
      addr_i      => p_bus.addr,  -- address
873
      rden_i      => io_rden,     -- read enable
874
      wren_i      => io_wren,     -- write enable
875
      data_i      => p_bus.wdata, -- data in
876 34 zero_gravi
      data_o      => cfu0_rdata,  -- data out
877
      ack_o       => cfu0_ack,    -- transfer acknowledge
878 23 zero_gravi
      -- clock generator --
879 34 zero_gravi
      clkgen_en_o => cfu0_cg_en,  -- enable clock generator
880
      clkgen_i    => clk_gen      -- "clock" inputs
881 23 zero_gravi
      -- custom io --
882
      -- ...
883
    );
884
  end generate;
885
 
886 34 zero_gravi
  neorv32_cfu0_inst_false:
887
  if (IO_CFU0_USE = false) generate
888
    cfu0_rdata <= (others => '0');
889
    cfu0_ack   <= '0';
890
    cfu0_cg_en <= '0';
891 23 zero_gravi
  end generate;
892
 
893
 
894 34 zero_gravi
  -- Custom Functions Unit 1 (CFU1) ---------------------------------------------------------
895
  -- -------------------------------------------------------------------------------------------
896
  neorv32_cfu1_inst_true:
897
  if (IO_CFU1_USE = true) generate
898
    neorv32_cfu1_inst: neorv32_cfu1
899
    port map (
900
      -- host access --
901
      clk_i       => clk_i,       -- global clock line
902
      rstn_i      => sys_rstn,    -- global reset line, low-active, use as async
903
      addr_i      => p_bus.addr,  -- address
904
      rden_i      => io_rden,     -- read enable
905
      wren_i      => io_wren,     -- write enable
906
      data_i      => p_bus.wdata, -- data in
907
      data_o      => cfu1_rdata,  -- data out
908
      ack_o       => cfu1_ack,    -- transfer acknowledge
909
      -- clock generator --
910
      clkgen_en_o => cfu1_cg_en,  -- enable clock generator
911
      clkgen_i    => clk_gen      -- "clock" inputs
912
      -- custom io --
913
      -- ...
914
    );
915
  end generate;
916
 
917
  neorv32_cfu1_inst_false:
918
  if (IO_CFU1_USE = false) generate
919
    cfu1_rdata <= (others => '0');
920
    cfu1_ack   <= '0';
921
    cfu1_cg_en <= '0';
922
  end generate;
923
 
924
 
925 12 zero_gravi
  -- System Configuration Information Memory (SYSINFO) --------------------------------------
926
  -- -------------------------------------------------------------------------------------------
927
  neorv32_sysinfo_inst: neorv32_sysinfo
928
  generic map (
929
    -- General --
930
    CLOCK_FREQUENCY   => CLOCK_FREQUENCY,   -- clock frequency of clk_i in Hz
931
    BOOTLOADER_USE    => BOOTLOADER_USE,    -- implement processor-internal bootloader?
932
    USER_CODE         => USER_CODE,         -- custom user code
933 23 zero_gravi
    -- internal Instruction memory --
934 12 zero_gravi
    MEM_INT_IMEM_USE  => MEM_INT_IMEM_USE,  -- implement processor-internal instruction memory
935
    MEM_INT_IMEM_SIZE => MEM_INT_IMEM_SIZE, -- size of processor-internal instruction memory in bytes
936
    MEM_INT_IMEM_ROM  => MEM_INT_IMEM_ROM,  -- implement processor-internal instruction memory as ROM
937 23 zero_gravi
    -- Internal Data memory --
938 12 zero_gravi
    MEM_INT_DMEM_USE  => MEM_INT_DMEM_USE,  -- implement processor-internal data memory
939
    MEM_INT_DMEM_SIZE => MEM_INT_DMEM_SIZE, -- size of processor-internal data memory in bytes
940 23 zero_gravi
    -- External memory interface --
941 12 zero_gravi
    MEM_EXT_USE       => MEM_EXT_USE,       -- implement external memory bus interface?
942
    -- Processor peripherals --
943
    IO_GPIO_USE       => IO_GPIO_USE,       -- implement general purpose input/output port unit (GPIO)?
944
    IO_MTIME_USE      => IO_MTIME_USE,      -- implement machine system timer (MTIME)?
945
    IO_UART_USE       => IO_UART_USE,       -- implement universal asynchronous receiver/transmitter (UART)?
946
    IO_SPI_USE        => IO_SPI_USE,        -- implement serial peripheral interface (SPI)?
947
    IO_TWI_USE        => IO_TWI_USE,        -- implement two-wire interface (TWI)?
948
    IO_PWM_USE        => IO_PWM_USE,        -- implement pulse-width modulation unit (PWM)?
949
    IO_WDT_USE        => IO_WDT_USE,        -- implement watch dog timer (WDT)?
950
    IO_TRNG_USE       => IO_TRNG_USE,       -- implement true random number generator (TRNG)?
951 34 zero_gravi
    IO_CFU0_USE       => IO_CFU0_USE,       -- implement custom functions unit 0 (CFU0)?
952
    IO_CFU1_USE       => IO_CFU1_USE        -- implement custom functions unit 1 (CFU1)?
953 12 zero_gravi
  )
954
  port map (
955
    -- host access --
956
    clk_i  => clk_i,         -- global clock line
957
    addr_i => p_bus.addr,    -- address
958
    rden_i => io_rden,       -- read enable
959
    data_o => sysinfo_rdata, -- data out
960
    ack_o  => sysinfo_ack    -- transfer acknowledge
961
  );
962
 
963
 
964 2 zero_gravi
end neorv32_top_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.