OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_top.vhd] - Blame information for rev 47

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Processor Top Entity >>                                                          #
3
-- # ********************************************************************************************* #
4 18 zero_gravi
-- # This is the top entity of the NEORV32 PROCESSOR. Instantiate this unit in your own project    #
5 2 zero_gravi
-- # and define all the configuration generics according to your needs. Alternatively, you can use #
6 18 zero_gravi
-- # one of the alternative top entities provided in the "rtl/top_templates" folder.               #
7
-- #                                                                                               #
8 23 zero_gravi
-- # Check the processor's data sheet for more information: docs/NEORV32.pdf                       #
9 2 zero_gravi
-- # ********************************************************************************************* #
10
-- # BSD 3-Clause License                                                                          #
11
-- #                                                                                               #
12 42 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
13 2 zero_gravi
-- #                                                                                               #
14
-- # Redistribution and use in source and binary forms, with or without modification, are          #
15
-- # permitted provided that the following conditions are met:                                     #
16
-- #                                                                                               #
17
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
18
-- #    conditions and the following disclaimer.                                                   #
19
-- #                                                                                               #
20
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
21
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
22
-- #    provided with the distribution.                                                            #
23
-- #                                                                                               #
24
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
25
-- #    endorse or promote products derived from this software without specific prior written      #
26
-- #    permission.                                                                                #
27
-- #                                                                                               #
28
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
29
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
30
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
31
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
32
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
33
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
34
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
35
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
36
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
37
-- # ********************************************************************************************* #
38
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
39
-- #################################################################################################
40
 
41
library ieee;
42
use ieee.std_logic_1164.all;
43
use ieee.numeric_std.all;
44
 
45
library neorv32;
46
use neorv32.neorv32_package.all;
47
 
48
entity neorv32_top is
49
  generic (
50
    -- General --
51 12 zero_gravi
    CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
52 44 zero_gravi
    BOOTLOADER_EN                : boolean := true;   -- implement processor-internal bootloader?
53 12 zero_gravi
    USER_CODE                    : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
54 36 zero_gravi
    HW_THREAD_ID                 : std_ulogic_vector(31 downto 0) := (others => '0'); -- hardware thread id (hartid)
55 2 zero_gravi
    -- RISC-V CPU Extensions --
56 39 zero_gravi
    CPU_EXTENSION_RISCV_A        : boolean := false;  -- implement atomic extension?
57 44 zero_gravi
    CPU_EXTENSION_RISCV_B        : boolean := false;  -- implement bit manipulation extensions?
58 11 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
59 8 zero_gravi
    CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
60 11 zero_gravi
    CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement muld/div extension?
61 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
62 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
63 39 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei : boolean := false;  -- implement instruction stream sync.?
64 19 zero_gravi
    -- Extension Options --
65 23 zero_gravi
    FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
66 39 zero_gravi
    FAST_SHIFT_EN                : boolean := false;  -- use barrel shifter for shift operations
67 15 zero_gravi
    -- Physical Memory Protection (PMP) --
68 42 zero_gravi
    PMP_NUM_REGIONS              : natural := 0;      -- number of regions (0..64)
69
    PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
70
    -- Hardware Performance Monitors (HPM) --
71 47 zero_gravi
    HPM_NUM_CNTS                 : natural := 0;      -- number of implemented HPM counters (0..29)
72 23 zero_gravi
    -- Internal Instruction memory --
73 44 zero_gravi
    MEM_INT_IMEM_EN              : boolean := true;   -- implement processor-internal instruction memory
74 8 zero_gravi
    MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
75
    MEM_INT_IMEM_ROM             : boolean := false;  -- implement processor-internal instruction memory as ROM
76 23 zero_gravi
    -- Internal Data memory --
77 44 zero_gravi
    MEM_INT_DMEM_EN              : boolean := true;   -- implement processor-internal data memory
78 8 zero_gravi
    MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
79 41 zero_gravi
    -- Internal Cache memory --
80 44 zero_gravi
    ICACHE_EN                    : boolean := false;  -- implement instruction cache
81 41 zero_gravi
    ICACHE_NUM_BLOCKS            : natural := 4;      -- i-cache: number of blocks (min 1), has to be a power of 2
82
    ICACHE_BLOCK_SIZE            : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
83 45 zero_gravi
    ICACHE_ASSOCIATIVITY         : natural := 1;      -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
84 23 zero_gravi
    -- External memory interface --
85 44 zero_gravi
    MEM_EXT_EN                   : boolean := false;  -- implement external memory bus interface?
86 2 zero_gravi
    -- Processor peripherals --
87 44 zero_gravi
    IO_GPIO_EN                   : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
88
    IO_MTIME_EN                  : boolean := true;   -- implement machine system timer (MTIME)?
89
    IO_UART_EN                   : boolean := true;   -- implement universal asynchronous receiver/transmitter (UART)?
90
    IO_SPI_EN                    : boolean := true;   -- implement serial peripheral interface (SPI)?
91
    IO_TWI_EN                    : boolean := true;   -- implement two-wire interface (TWI)?
92
    IO_PWM_EN                    : boolean := true;   -- implement pulse-width modulation unit (PWM)?
93
    IO_WDT_EN                    : boolean := true;   -- implement watch dog timer (WDT)?
94
    IO_TRNG_EN                   : boolean := false;  -- implement true random number generator (TRNG)?
95 47 zero_gravi
    IO_CFS_EN                    : boolean := false;  -- implement custom functions subsystem (CFS)?
96
    IO_CFS_CONFIG                : std_ulogic_vector(31 downto 0) := (others => '0') -- custom CFS configuration generic
97 2 zero_gravi
  );
98
  port (
99
    -- Global control --
100 34 zero_gravi
    clk_i       : in  std_ulogic := '0'; -- global clock, rising edge
101
    rstn_i      : in  std_ulogic := '0'; -- global reset, low-active, async
102 44 zero_gravi
    -- Wishbone bus interface (available if MEM_EXT_EN = true) --
103 36 zero_gravi
    wb_tag_o    : out std_ulogic_vector(02 downto 0); -- tag
104 34 zero_gravi
    wb_adr_o    : out std_ulogic_vector(31 downto 0); -- address
105
    wb_dat_i    : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- read data
106
    wb_dat_o    : out std_ulogic_vector(31 downto 0); -- write data
107
    wb_we_o     : out std_ulogic; -- read/write
108
    wb_sel_o    : out std_ulogic_vector(03 downto 0); -- byte enable
109
    wb_stb_o    : out std_ulogic; -- strobe
110
    wb_cyc_o    : out std_ulogic; -- valid cycle
111 39 zero_gravi
    wb_lock_o   : out std_ulogic; -- locked/exclusive bus access
112 34 zero_gravi
    wb_ack_i    : in  std_ulogic := '0'; -- transfer acknowledge
113
    wb_err_i    : in  std_ulogic := '0'; -- transfer error
114 44 zero_gravi
    -- Advanced memory control signals (available if MEM_EXT_EN = true) --
115 34 zero_gravi
    fence_o     : out std_ulogic; -- indicates an executed FENCE operation
116
    fencei_o    : out std_ulogic; -- indicates an executed FENCEI operation
117 44 zero_gravi
    -- GPIO (available if IO_GPIO_EN = true) --
118 34 zero_gravi
    gpio_o      : out std_ulogic_vector(31 downto 0); -- parallel output
119
    gpio_i      : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- parallel input
120 44 zero_gravi
    -- UART (available if IO_UART_EN = true) --
121 34 zero_gravi
    uart_txd_o  : out std_ulogic; -- UART send data
122
    uart_rxd_i  : in  std_ulogic := '0'; -- UART receive data
123 44 zero_gravi
    -- SPI (available if IO_SPI_EN = true) --
124 34 zero_gravi
    spi_sck_o   : out std_ulogic; -- SPI serial clock
125
    spi_sdo_o   : out std_ulogic; -- controller data out, peripheral data in
126
    spi_sdi_i   : in  std_ulogic := '0'; -- controller data in, peripheral data out
127
    spi_csn_o   : out std_ulogic_vector(07 downto 0); -- SPI CS
128 44 zero_gravi
    -- TWI (available if IO_TWI_EN = true) --
129 35 zero_gravi
    twi_sda_io  : inout std_logic; -- twi serial data line
130
    twi_scl_io  : inout std_logic; -- twi serial clock line
131 44 zero_gravi
    -- PWM (available if IO_PWM_EN = true) --
132 34 zero_gravi
    pwm_o       : out std_ulogic_vector(03 downto 0); -- pwm channels
133 47 zero_gravi
    -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) --
134
    cfs_in_i    : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- custom CFS inputs conduit
135
    cfs_out_o   : out std_ulogic_vector(31 downto 0); -- custom CFS outputs conduit
136 44 zero_gravi
    -- system time input from external MTIME (available if IO_MTIME_EN = false) --
137 40 zero_gravi
    mtime_i     : in  std_ulogic_vector(63 downto 0) := (others => '0'); -- current system time
138 14 zero_gravi
    -- Interrupts --
139 47 zero_gravi
    soc_firq_i  : in  std_ulogic_vector(3 downto 0) := (others => '0'); -- fast interrupt channels
140 44 zero_gravi
    mtime_irq_i : in  std_ulogic := '0'; -- machine timer interrupt, available if IO_MTIME_EN = false
141 34 zero_gravi
    msw_irq_i   : in  std_ulogic := '0'; -- machine software interrupt
142
    mext_irq_i  : in  std_ulogic := '0'  -- machine external interrupt
143 2 zero_gravi
  );
144
end neorv32_top;
145
 
146
architecture neorv32_top_rtl of neorv32_top is
147
 
148 12 zero_gravi
  -- CPU boot address --
149 44 zero_gravi
  constant cpu_boot_addr_c : std_ulogic_vector(31 downto 0) := cond_sel_stdulogicvector_f(BOOTLOADER_EN, boot_rom_base_c, ispace_base_c);
150 12 zero_gravi
 
151 41 zero_gravi
  -- Bus timeout --
152
  constant bus_timeout_temp_c : natural := 2**index_size_f(bus_timeout_c); -- round to next power-of-two
153 44 zero_gravi
  constant bus_timeout_proc_c : natural := cond_sel_natural_f(ICACHE_EN, ((ICACHE_BLOCK_SIZE/4)*bus_timeout_temp_c)-1, bus_timeout_c);
154 41 zero_gravi
 
155 29 zero_gravi
  -- alignment check for internal memories --
156
  constant imem_align_check_c : std_ulogic_vector(index_size_f(MEM_INT_IMEM_SIZE)-1 downto 0) := (others => '0');
157
  constant dmem_align_check_c : std_ulogic_vector(index_size_f(MEM_INT_DMEM_SIZE)-1 downto 0) := (others => '0');
158
 
159 2 zero_gravi
  -- reset generator --
160
  signal rstn_i_sync0 : std_ulogic;
161
  signal rstn_i_sync1 : std_ulogic;
162
  signal rstn_i_sync2 : std_ulogic;
163
  signal rstn_gen     : std_ulogic_vector(3 downto 0);
164
  signal ext_rstn     : std_ulogic;
165
  signal sys_rstn     : std_ulogic;
166
  signal wdt_rstn     : std_ulogic;
167
 
168
  -- clock generator --
169
  signal clk_div    : std_ulogic_vector(11 downto 0);
170
  signal clk_div_ff : std_ulogic_vector(11 downto 0);
171
  signal clk_gen    : std_ulogic_vector(07 downto 0);
172 47 zero_gravi
  --
173 2 zero_gravi
  signal wdt_cg_en  : std_ulogic;
174
  signal uart_cg_en : std_ulogic;
175
  signal spi_cg_en  : std_ulogic;
176
  signal twi_cg_en  : std_ulogic;
177
  signal pwm_cg_en  : std_ulogic;
178 47 zero_gravi
  signal cfs_cg_en  : std_ulogic;
179 2 zero_gravi
 
180 12 zero_gravi
  -- bus interface --
181
  type bus_interface_t is record
182 11 zero_gravi
    addr   : std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
183
    rdata  : std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
184
    wdata  : std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
185
    ben    : std_ulogic_vector(03 downto 0); -- byte enable
186
    we     : std_ulogic; -- write enable
187
    re     : std_ulogic; -- read enable
188
    cancel : std_ulogic; -- cancel current transfer
189
    ack    : std_ulogic; -- bus transfer acknowledge
190
    err    : std_ulogic; -- bus transfer error
191 12 zero_gravi
    fence  : std_ulogic; -- fence(i) instruction executed
192 35 zero_gravi
    priv   : std_ulogic_vector(1 downto 0); -- current privilege level
193 40 zero_gravi
    src    : std_ulogic; -- access source (1=instruction fetch, 0=data access)
194 39 zero_gravi
    lock   : std_ulogic; -- locked/exclusive (=atomic) access
195 11 zero_gravi
  end record;
196 41 zero_gravi
  signal cpu_i, i_cache, cpu_d, p_bus : bus_interface_t;
197 2 zero_gravi
 
198
  -- io space access --
199
  signal io_acc  : std_ulogic;
200
  signal io_rden : std_ulogic;
201
  signal io_wren : std_ulogic;
202
 
203
  -- read-back busses -
204
  signal imem_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
205
  signal imem_ack       : std_ulogic;
206
  signal dmem_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
207
  signal dmem_ack       : std_ulogic;
208
  signal bootrom_rdata  : std_ulogic_vector(data_width_c-1 downto 0);
209
  signal bootrom_ack    : std_ulogic;
210
  signal wishbone_rdata : std_ulogic_vector(data_width_c-1 downto 0);
211
  signal wishbone_ack   : std_ulogic;
212
  signal wishbone_err   : std_ulogic;
213
  signal gpio_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
214
  signal gpio_ack       : std_ulogic;
215
  signal mtime_rdata    : std_ulogic_vector(data_width_c-1 downto 0);
216
  signal mtime_ack      : std_ulogic;
217
  signal uart_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
218
  signal uart_ack       : std_ulogic;
219
  signal spi_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
220
  signal spi_ack        : std_ulogic;
221
  signal twi_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
222
  signal twi_ack        : std_ulogic;
223
  signal pwm_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
224
  signal pwm_ack        : std_ulogic;
225
  signal wdt_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
226
  signal wdt_ack        : std_ulogic;
227
  signal trng_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
228
  signal trng_ack       : std_ulogic;
229 47 zero_gravi
  signal cfs_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
230
  signal cfs_err        : std_ulogic;
231
  signal cfs_ack        : std_ulogic;
232 12 zero_gravi
  signal sysinfo_rdata  : std_ulogic_vector(data_width_c-1 downto 0);
233
  signal sysinfo_ack    : std_ulogic;
234 2 zero_gravi
 
235
  -- IRQs --
236
  signal mtime_irq : std_ulogic;
237 47 zero_gravi
  --
238
  signal fast_irq     : std_ulogic_vector(7 downto 0);
239
  signal fast_irq_ack : std_ulogic_vector(7 downto 0);
240
  signal gpio_irq     : std_ulogic;
241
  signal wdt_irq      : std_ulogic;
242
  signal uart_irq     : std_ulogic;
243
  signal spi_irq      : std_ulogic;
244
  signal twi_irq      : std_ulogic;
245
  signal cfs_irq      : std_ulogic;
246 2 zero_gravi
 
247 11 zero_gravi
  -- misc --
248
  signal mtime_time : std_ulogic_vector(63 downto 0); -- current system time from MTIME
249 47 zero_gravi
  signal cpu_sleep  : std_ulogic; -- CPU is in sleep mode when set
250 11 zero_gravi
 
251 2 zero_gravi
begin
252
 
253
  -- Sanity Checks --------------------------------------------------------------------------
254
  -- -------------------------------------------------------------------------------------------
255 36 zero_gravi
  -- clock --
256
  assert not (CLOCK_FREQUENCY = 0) report "NEORV32 PROCESSOR CONFIG ERROR! Core clock frequency (CLOCK_FREQUENCY) not specified." severity error;
257 23 zero_gravi
  -- internal bootloader ROM --
258 44 zero_gravi
  assert not ((BOOTLOADER_EN = true) and (boot_rom_size_c > boot_rom_max_size_c)) report "NEORV32 PROCESSOR CONFIG ERROR! Boot ROM size out of range." severity error;
259
  assert not ((BOOTLOADER_EN = true) and (MEM_INT_IMEM_ROM = true)) report "NEORV32 PROCESSOR CONFIG WARNING! IMEM is configured as read-only. Bootloader will not be able to load new executables." severity warning;
260 23 zero_gravi
  -- memory system - data/instruction fetch --
261 44 zero_gravi
  assert not ((MEM_EXT_EN = false) and (MEM_INT_DMEM_EN = false)) report "NEORV32 PROCESSOR CONFIG ERROR! Core cannot fetch data without external memory interface and internal data memory." severity error;
262
  assert not ((MEM_EXT_EN = false) and (MEM_INT_IMEM_EN = false) and (BOOTLOADER_EN = false)) report "NEORV32 PROCESSOR CONFIG ERROR! Core cannot fetch instructions without external memory interface, internal data memory and bootloader." severity error;
263 36 zero_gravi
  -- memory system - size --
264 44 zero_gravi
  assert not ((MEM_INT_DMEM_EN = true) and (is_power_of_two_f(MEM_INT_IMEM_SIZE) = false)) report "NEORV32 PROCESSOR CONFIG WARNING! MEM_INT_IMEM_SIZE should be a power of 2 to allow optimal hardware mapping." severity warning;
265
  assert not ((MEM_INT_IMEM_EN = true) and (is_power_of_two_f(MEM_INT_DMEM_SIZE) = false)) report "NEORV32 PROCESSOR CONFIG WARNING! MEM_INT_DMEM_SIZE should be a power of 2 to allow optimal hardware mapping." severity warning;
266 29 zero_gravi
  -- memory system - alignment --
267
  assert not (ispace_base_c(1 downto 0) /= "00") report "NEORV32 PROCESSOR CONFIG ERROR! Instruction memory space base address must be 4-byte-aligned." severity error;
268
  assert not (dspace_base_c(1 downto 0) /= "00") report "NEORV32 PROCESSOR CONFIG ERROR! Data memory space base address must be 4-byte-aligned." severity error;
269 44 zero_gravi
  assert not ((ispace_base_c(index_size_f(MEM_INT_IMEM_SIZE)-1 downto 0) /= imem_align_check_c) and (MEM_INT_IMEM_EN = true)) report "NEORV32 PROCESSOR CONFIG ERROR! Instruction memory space base address has to be aligned to IMEM size." severity error;
270
  assert not ((dspace_base_c(index_size_f(MEM_INT_DMEM_SIZE)-1 downto 0) /= dmem_align_check_c) and (MEM_INT_DMEM_EN = true)) report "NEORV32 PROCESSOR CONFIG ERROR! Data memory space base address has to be aligned to DMEM size." severity error;
271 36 zero_gravi
  -- memory system - layout warning --
272 29 zero_gravi
  assert not (ispace_base_c /= x"00000000") report "NEORV32 PROCESSOR CONFIG WARNING! Non-default base address for instruction address space. Make sure this is sync with the software framework." severity warning;
273
  assert not (dspace_base_c /= x"80000000") report "NEORV32 PROCESSOR CONFIG WARNING! Non-default base address for data address space. Make sure this is sync with the software framework." severity warning;
274 41 zero_gravi
  -- memory system - the i-cache is intended to accelerate instruction fetch via the external memory interface only --
275 44 zero_gravi
  assert not ((ICACHE_EN = true) and (MEM_EXT_EN = false)) report "NEORV32 PROCESSOR CONFIG NOTE. Implementing i-cache without having the external memory interface implemented. The i-cache is intended to accelerate instruction fetch via the external memory interface." severity note;
276 41 zero_gravi
  -- memory system - cached instruction fetch latency check --
277 44 zero_gravi
  assert not (ICACHE_EN = true) report "NEORV32 PROCESSOR CONFIG WARNING! Implementing i-cache. Increasing bus access timeout from " & integer'image(bus_timeout_c) & " cycles to " & integer'image(bus_timeout_proc_c) & " cycles." severity warning;
278 2 zero_gravi
 
279
 
280
  -- Reset Generator ------------------------------------------------------------------------
281
  -- -------------------------------------------------------------------------------------------
282
  reset_generator_sync: process(clk_i)
283
  begin
284
    -- make sure the external reset is free of metastability and has a minimal duration of 1 clock cycle
285
    if rising_edge(clk_i) then
286
      rstn_i_sync0 <= rstn_i;
287
      rstn_i_sync1 <= rstn_i_sync0;
288
      rstn_i_sync2 <= rstn_i_sync1;
289
    end if;
290
  end process reset_generator_sync;
291
 
292
  -- keep internal reset active for at least 4 clock cycles
293
  reset_generator: process(rstn_i_sync1, rstn_i_sync2, clk_i)
294
  begin
295 23 zero_gravi
    if ((rstn_i_sync1 and rstn_i_sync2) = '0') then -- signal stable?
296 2 zero_gravi
      rstn_gen <= (others => '0');
297
    elsif rising_edge(clk_i) then
298
      rstn_gen <= rstn_gen(rstn_gen'left-1 downto 0) & '1';
299
    end if;
300
  end process reset_generator;
301
 
302
  ext_rstn <= rstn_gen(rstn_gen'left); -- the beautified external reset signal
303 23 zero_gravi
  sys_rstn <= ext_rstn and wdt_rstn;   -- system reset - can also be triggered by watchdog
304 2 zero_gravi
 
305
 
306
  -- Clock Generator ------------------------------------------------------------------------
307
  -- -------------------------------------------------------------------------------------------
308
  clock_generator: process(sys_rstn, clk_i)
309
  begin
310
    if (sys_rstn = '0') then
311
      clk_div    <= (others => '0');
312
      clk_div_ff <= (others => '0');
313
    elsif rising_edge(clk_i) then
314 23 zero_gravi
      -- fresh clocks anyone? --
315 47 zero_gravi
      if ((wdt_cg_en or uart_cg_en or spi_cg_en or twi_cg_en or pwm_cg_en or cfs_cg_en) = '1') then
316 23 zero_gravi
        clk_div <= std_ulogic_vector(unsigned(clk_div) + 1);
317 2 zero_gravi
      end if;
318 23 zero_gravi
      clk_div_ff <= clk_div;
319 2 zero_gravi
    end if;
320
  end process clock_generator;
321
 
322 23 zero_gravi
  -- clock enables: rising edge detectors --
323
  clock_generator_edge: process(clk_i)
324
  begin
325
    if rising_edge(clk_i) then
326
      clk_gen(clk_div2_c)    <= clk_div(0)  and (not clk_div_ff(0));  -- CLK/2
327
      clk_gen(clk_div4_c)    <= clk_div(1)  and (not clk_div_ff(1));  -- CLK/4
328
      clk_gen(clk_div8_c)    <= clk_div(2)  and (not clk_div_ff(2));  -- CLK/8
329
      clk_gen(clk_div64_c)   <= clk_div(5)  and (not clk_div_ff(5));  -- CLK/64
330
      clk_gen(clk_div128_c)  <= clk_div(6)  and (not clk_div_ff(6));  -- CLK/128
331
      clk_gen(clk_div1024_c) <= clk_div(9)  and (not clk_div_ff(9));  -- CLK/1024
332
      clk_gen(clk_div2048_c) <= clk_div(10) and (not clk_div_ff(10)); -- CLK/2048
333
      clk_gen(clk_div4096_c) <= clk_div(11) and (not clk_div_ff(11)); -- CLK/4096
334
    end if;
335
  end process clock_generator_edge;
336 2 zero_gravi
 
337
 
338 45 zero_gravi
  -- CPU Core -------------------------------------------------------------------------------
339 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
340
  neorv32_cpu_inst: neorv32_cpu
341
  generic map (
342
    -- General --
343 41 zero_gravi
    HW_THREAD_ID                 => HW_THREAD_ID,        -- hardware thread id
344
    CPU_BOOT_ADDR                => cpu_boot_addr_c,     -- cpu boot address
345
    BUS_TIMEOUT                  => bus_timeout_proc_c,  -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
346 2 zero_gravi
    -- RISC-V CPU Extensions --
347 39 zero_gravi
    CPU_EXTENSION_RISCV_A        => CPU_EXTENSION_RISCV_A,        -- implement atomic extension?
348 44 zero_gravi
    CPU_EXTENSION_RISCV_B        => CPU_EXTENSION_RISCV_B,        -- implement bit manipulation extensions?
349 8 zero_gravi
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,        -- implement compressed extension?
350
    CPU_EXTENSION_RISCV_E        => CPU_EXTENSION_RISCV_E,        -- implement embedded RF extension?
351
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,        -- implement muld/div extension?
352 15 zero_gravi
    CPU_EXTENSION_RISCV_U        => CPU_EXTENSION_RISCV_U,        -- implement user mode extension?
353 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
354
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
355 19 zero_gravi
    -- Extension Options --
356 41 zero_gravi
    FAST_MUL_EN                  => FAST_MUL_EN,         -- use DSPs for M extension's multiplier
357
    FAST_SHIFT_EN                => FAST_SHIFT_EN,       -- use barrel shifter for shift operations
358 15 zero_gravi
    -- Physical Memory Protection (PMP) --
359 42 zero_gravi
    PMP_NUM_REGIONS              => PMP_NUM_REGIONS,     -- number of regions (0..64)
360
    PMP_MIN_GRANULARITY          => PMP_MIN_GRANULARITY, -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
361
    -- Hardware Performance Monitors (HPM) --
362 47 zero_gravi
    HPM_NUM_CNTS                 => HPM_NUM_CNTS         -- number of implemented HPM counters (0..29)
363 2 zero_gravi
  )
364
  port map (
365
    -- global control --
366 12 zero_gravi
    clk_i          => clk_i,        -- global clock, rising edge
367
    rstn_i         => sys_rstn,     -- global reset, low-active, async
368 47 zero_gravi
    sleep_o        => cpu_sleep,    -- cpu is in sleep mode when set
369 12 zero_gravi
    -- instruction bus interface --
370
    i_bus_addr_o   => cpu_i.addr,   -- bus access address
371
    i_bus_rdata_i  => cpu_i.rdata,  -- bus read data
372
    i_bus_wdata_o  => cpu_i.wdata,  -- bus write data
373
    i_bus_ben_o    => cpu_i.ben,    -- byte enable
374
    i_bus_we_o     => cpu_i.we,     -- write enable
375
    i_bus_re_o     => cpu_i.re,     -- read enable
376
    i_bus_cancel_o => cpu_i.cancel, -- cancel current bus transaction
377
    i_bus_ack_i    => cpu_i.ack,    -- bus transfer acknowledge
378
    i_bus_err_i    => cpu_i.err,    -- bus transfer error
379
    i_bus_fence_o  => cpu_i.fence,  -- executed FENCEI operation
380 35 zero_gravi
    i_bus_priv_o   => cpu_i.priv,   -- privilege level
381 39 zero_gravi
    i_bus_lock_o   => cpu_i.lock,   -- locked/exclusive access
382 12 zero_gravi
    -- data bus interface --
383
    d_bus_addr_o   => cpu_d.addr,   -- bus access address
384
    d_bus_rdata_i  => cpu_d.rdata,  -- bus read data
385
    d_bus_wdata_o  => cpu_d.wdata,  -- bus write data
386
    d_bus_ben_o    => cpu_d.ben,    -- byte enable
387
    d_bus_we_o     => cpu_d.we,     -- write enable
388
    d_bus_re_o     => cpu_d.re,     -- read enable
389
    d_bus_cancel_o => cpu_d.cancel, -- cancel current bus transaction
390
    d_bus_ack_i    => cpu_d.ack,    -- bus transfer acknowledge
391
    d_bus_err_i    => cpu_d.err,    -- bus transfer error
392
    d_bus_fence_o  => cpu_d.fence,  -- executed FENCE operation
393 35 zero_gravi
    d_bus_priv_o   => cpu_d.priv,   -- privilege level
394 39 zero_gravi
    d_bus_lock_o   => cpu_d.lock,   -- locked/exclusive access
395 11 zero_gravi
    -- system time input from MTIME --
396 12 zero_gravi
    time_i         => mtime_time,   -- current system time
397 14 zero_gravi
    -- interrupts (risc-v compliant) --
398
    msw_irq_i      => msw_irq_i,    -- machine software interrupt
399
    mext_irq_i     => mext_irq_i,   -- machine external interrupt request
400
    mtime_irq_i    => mtime_irq,    -- machine timer interrupt
401
    -- fast interrupts (custom) --
402 47 zero_gravi
    firq_i         => fast_irq,     -- fast interrupt trigger
403
    firq_ack_o     => fast_irq_ack  -- fast interrupt acknowledge mask
404 2 zero_gravi
  );
405
 
406 36 zero_gravi
  -- misc --
407 40 zero_gravi
  cpu_i.src <= '1'; -- initialized but unused
408
  cpu_d.src <= '0'; -- initialized but unused
409 36 zero_gravi
 
410 14 zero_gravi
  -- advanced memory control --
411
  fence_o  <= cpu_d.fence; -- indicates an executed FENCE operation
412
  fencei_o <= cpu_i.fence; -- indicates an executed FENCEI operation
413 2 zero_gravi
 
414 47 zero_gravi
  -- fast interrupts - processor-internal --
415
  fast_irq(0) <= wdt_irq;             -- highest priority, watchdog timeout interrupt
416
  fast_irq(1) <= gpio_irq or cfs_irq; -- GPIO input pin-change interrupt or custom CFS interrupt
417
  fast_irq(2) <= uart_irq;            -- UART TX done or RX complete interrupt
418
  fast_irq(3) <= spi_irq or twi_irq;  -- lowest priority, can be triggered by SPI or TWI
419
  -- fast interrupts - platform level (for cutsom use) --
420
  fast_irq(4) <= soc_firq_i(0);
421
  fast_irq(5) <= soc_firq_i(1);
422
  fast_irq(6) <= soc_firq_i(2);
423
  fast_irq(7) <= soc_firq_i(3);
424 14 zero_gravi
 
425
 
426 41 zero_gravi
  -- CPU Instruction Cache ------------------------------------------------------------------
427
  -- -------------------------------------------------------------------------------------------
428
  neorv32_icache_inst_true:
429 44 zero_gravi
  if (ICACHE_EN = true) generate
430 45 zero_gravi
    neorv32_icache_inst: neorv32_icache
431 41 zero_gravi
    generic map (
432 47 zero_gravi
      ICACHE_NUM_BLOCKS => ICACHE_NUM_BLOCKS,   -- number of blocks (min 2), has to be a power of 2
433
      ICACHE_BLOCK_SIZE => ICACHE_BLOCK_SIZE,   -- block size in bytes (min 4), has to be a power of 2
434
      ICACHE_NUM_SETS   => ICACHE_ASSOCIATIVITY -- associativity / number of sets (1=direct_mapped), has to be a power of 2
435 41 zero_gravi
    )
436
    port map (
437
      -- global control --
438
      clk_i         => clk_i,          -- global clock, rising edge
439
      rstn_i        => sys_rstn,       -- global reset, low-active, async
440
      clear_i       => cpu_i.fence,    -- cache clear
441
      -- host controller interface --
442
      host_addr_i   => cpu_i.addr,     -- bus access address
443
      host_rdata_o  => cpu_i.rdata,    -- bus read data
444
      host_wdata_i  => cpu_i.wdata,    -- bus write data
445
      host_ben_i    => cpu_i.ben,      -- byte enable
446
      host_we_i     => cpu_i.we,       -- write enable
447
      host_re_i     => cpu_i.re,       -- read enable
448
      host_cancel_i => cpu_i.cancel,   -- cancel current bus transaction
449
      host_lock_i   => cpu_i.lock,     -- locked/exclusive access
450
      host_ack_o    => cpu_i.ack,      -- bus transfer acknowledge
451
      host_err_o    => cpu_i.err,      -- bus transfer error
452
      -- peripheral bus interface --
453
      bus_addr_o    => i_cache.addr,   -- bus access address
454
      bus_rdata_i   => i_cache.rdata,  -- bus read data
455
      bus_wdata_o   => i_cache.wdata,  -- bus write data
456
      bus_ben_o     => i_cache.ben,    -- byte enable
457
      bus_we_o      => i_cache.we,     -- write enable
458
      bus_re_o      => i_cache.re,     -- read enable
459
      bus_cancel_o  => i_cache.cancel, -- cancel current bus transaction
460
      bus_lock_o    => i_cache.lock,   -- locked/exclusive access
461
      bus_ack_i     => i_cache.ack,    -- bus transfer acknowledge
462
      bus_err_i     => i_cache.err     -- bus transfer error
463
    );
464
  end generate;
465
 
466
  neorv32_icache_inst_false:
467 44 zero_gravi
  if (ICACHE_EN = false) generate
468 41 zero_gravi
    i_cache.addr   <= cpu_i.addr;
469
    cpu_i.rdata    <= i_cache.rdata;
470
    i_cache.wdata  <= cpu_i.wdata;
471
    i_cache.ben    <= cpu_i.ben;
472
    i_cache.we     <= cpu_i.we;
473
    i_cache.re     <= cpu_i.re;
474
    i_cache.cancel <= cpu_i.cancel;
475
    i_cache.lock   <= cpu_i.lock;
476
    cpu_i.ack      <= i_cache.ack;
477
    cpu_i.err      <= i_cache.err;
478
  end generate;
479
 
480
 
481 45 zero_gravi
  -- CPU Bus Switch -------------------------------------------------------------------------
482 12 zero_gravi
  -- -------------------------------------------------------------------------------------------
483
  neorv32_busswitch_inst: neorv32_busswitch
484
  generic map (
485
    PORT_CA_READ_ONLY => false, -- set if controller port A is read-only
486
    PORT_CB_READ_ONLY => true   -- set if controller port B is read-only
487
  )
488
  port map (
489
    -- global control --
490 41 zero_gravi
    clk_i           => clk_i,          -- global clock, rising edge
491
    rstn_i          => sys_rstn,       -- global reset, low-active, async
492 12 zero_gravi
    -- controller interface a --
493 41 zero_gravi
    ca_bus_addr_i   => cpu_d.addr,     -- bus access address
494
    ca_bus_rdata_o  => cpu_d.rdata,    -- bus read data
495
    ca_bus_wdata_i  => cpu_d.wdata,    -- bus write data
496
    ca_bus_ben_i    => cpu_d.ben,      -- byte enable
497
    ca_bus_we_i     => cpu_d.we,       -- write enable
498
    ca_bus_re_i     => cpu_d.re,       -- read enable
499
    ca_bus_cancel_i => cpu_d.cancel,   -- cancel current bus transaction
500
    ca_bus_lock_i   => cpu_d.lock,     -- locked/exclusive access
501
    ca_bus_ack_o    => cpu_d.ack,      -- bus transfer acknowledge
502
    ca_bus_err_o    => cpu_d.err,      -- bus transfer error
503 12 zero_gravi
    -- controller interface b --
504 41 zero_gravi
    cb_bus_addr_i   => i_cache.addr,   -- bus access address
505
    cb_bus_rdata_o  => i_cache.rdata,  -- bus read data
506
    cb_bus_wdata_i  => i_cache.wdata,  -- bus write data
507
    cb_bus_ben_i    => i_cache.ben,    -- byte enable
508
    cb_bus_we_i     => i_cache.we,     -- write enable
509
    cb_bus_re_i     => i_cache.re,     -- read enable
510
    cb_bus_cancel_i => i_cache.cancel, -- cancel current bus transaction
511
    cb_bus_lock_i   => i_cache.lock,   -- locked/exclusive access
512
    cb_bus_ack_o    => i_cache.ack,    -- bus transfer acknowledge
513
    cb_bus_err_o    => i_cache.err,    -- bus transfer error
514 12 zero_gravi
    -- peripheral bus --
515 41 zero_gravi
    p_bus_src_o     => p_bus.src,      -- access source: 0 = A (data), 1 = B (instructions)
516
    p_bus_addr_o    => p_bus.addr,     -- bus access address
517
    p_bus_rdata_i   => p_bus.rdata,    -- bus read data
518
    p_bus_wdata_o   => p_bus.wdata,    -- bus write data
519
    p_bus_ben_o     => p_bus.ben,      -- byte enable
520
    p_bus_we_o      => p_bus.we,       -- write enable
521
    p_bus_re_o      => p_bus.re,       -- read enable
522
    p_bus_cancel_o  => p_bus.cancel,   -- cancel current bus transaction
523
    p_bus_lock_o    => p_bus.lock,     -- locked/exclusive access
524
    p_bus_ack_i     => p_bus.ack,      -- bus transfer acknowledge
525
    p_bus_err_i     => p_bus.err       -- bus transfer error
526 12 zero_gravi
  );
527 2 zero_gravi
 
528 14 zero_gravi
  -- processor bus: CPU data input --
529 12 zero_gravi
  p_bus.rdata <= (imem_rdata or dmem_rdata or bootrom_rdata) or wishbone_rdata or (gpio_rdata or mtime_rdata or uart_rdata or
530 47 zero_gravi
                 spi_rdata or twi_rdata or pwm_rdata or wdt_rdata or trng_rdata or cfs_rdata or sysinfo_rdata);
531 2 zero_gravi
 
532 14 zero_gravi
  -- processor bus: CPU data ACK input --
533 12 zero_gravi
  p_bus.ack <= (imem_ack or dmem_ack or bootrom_ack) or wishbone_ack or (gpio_ack or mtime_ack or uart_ack or
534 47 zero_gravi
               spi_ack or twi_ack or pwm_ack or wdt_ack or trng_ack or cfs_ack or sysinfo_ack);
535 12 zero_gravi
 
536 14 zero_gravi
  -- processor bus: CPU data bus error input --
537 47 zero_gravi
  p_bus.err <= wishbone_err or cfs_err;
538 12 zero_gravi
 
539 36 zero_gravi
  -- current CPU privilege level --
540
  p_bus.priv <= cpu_i.priv; -- cpu_i.priv == cpu_d.priv
541 12 zero_gravi
 
542 36 zero_gravi
 
543 2 zero_gravi
  -- Processor-Internal Instruction Memory (IMEM) -------------------------------------------
544
  -- -------------------------------------------------------------------------------------------
545
  neorv32_int_imem_inst_true:
546 44 zero_gravi
  if (MEM_INT_IMEM_EN = true) generate
547 2 zero_gravi
    neorv32_int_imem_inst: neorv32_imem
548
    generic map (
549 23 zero_gravi
      IMEM_BASE      => imem_base_c,       -- memory base address
550 2 zero_gravi
      IMEM_SIZE      => MEM_INT_IMEM_SIZE, -- processor-internal instruction memory size in bytes
551
      IMEM_AS_ROM    => MEM_INT_IMEM_ROM,  -- implement IMEM as read-only memory?
552 45 zero_gravi
      BOOTLOADER_EN  => BOOTLOADER_EN      -- implement and use bootloader?
553 2 zero_gravi
    )
554
    port map (
555 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
556
      rden_i => p_bus.re,    -- read enable
557
      wren_i => p_bus.we,    -- write enable
558
      ben_i  => p_bus.ben,   -- byte write enable
559
      addr_i => p_bus.addr,  -- address
560
      data_i => p_bus.wdata, -- data in
561
      data_o => imem_rdata,  -- data out
562
      ack_o  => imem_ack     -- transfer acknowledge
563 2 zero_gravi
    );
564
  end generate;
565
 
566
  neorv32_int_imem_inst_false:
567 44 zero_gravi
  if (MEM_INT_IMEM_EN = false) generate
568 2 zero_gravi
    imem_rdata <= (others => '0');
569
    imem_ack   <= '0';
570
  end generate;
571
 
572
 
573
  -- Processor-Internal Data Memory (DMEM) --------------------------------------------------
574
  -- -------------------------------------------------------------------------------------------
575
  neorv32_int_dmem_inst_true:
576 44 zero_gravi
  if (MEM_INT_DMEM_EN = true) generate
577 2 zero_gravi
    neorv32_int_dmem_inst: neorv32_dmem
578
    generic map (
579 23 zero_gravi
      DMEM_BASE => dmem_base_c,      -- memory base address
580 2 zero_gravi
      DMEM_SIZE => MEM_INT_DMEM_SIZE -- processor-internal data memory size in bytes
581
    )
582
    port map (
583 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
584
      rden_i => p_bus.re,    -- read enable
585
      wren_i => p_bus.we,    -- write enable
586
      ben_i  => p_bus.ben,   -- byte write enable
587
      addr_i => p_bus.addr,  -- address
588
      data_i => p_bus.wdata, -- data in
589
      data_o => dmem_rdata,  -- data out
590
      ack_o  => dmem_ack     -- transfer acknowledge
591 2 zero_gravi
    );
592
  end generate;
593
 
594
  neorv32_int_dmem_inst_false:
595 44 zero_gravi
  if (MEM_INT_DMEM_EN = false) generate
596 2 zero_gravi
    dmem_rdata <= (others => '0');
597
    dmem_ack   <= '0';
598
  end generate;
599
 
600
 
601
  -- Processor-Internal Bootloader ROM (BOOTROM) --------------------------------------------
602
  -- -------------------------------------------------------------------------------------------
603
  neorv32_boot_rom_inst_true:
604 44 zero_gravi
  if (BOOTLOADER_EN = true) generate
605 2 zero_gravi
    neorv32_boot_rom_inst: neorv32_boot_rom
606 23 zero_gravi
    generic map (
607
      BOOTROM_BASE => boot_rom_base_c, -- boot ROM base address
608
      BOOTROM_SIZE => boot_rom_size_c  -- processor-internal boot TOM memory size in bytes
609
    )
610 2 zero_gravi
    port map (
611
      clk_i  => clk_i,         -- global clock line
612 12 zero_gravi
      rden_i => p_bus.re,      -- read enable
613
      addr_i => p_bus.addr,    -- address
614 2 zero_gravi
      data_o => bootrom_rdata, -- data out
615
      ack_o  => bootrom_ack    -- transfer acknowledge
616
    );
617
  end generate;
618
 
619
  neorv32_boot_rom_inst_false:
620 44 zero_gravi
  if (BOOTLOADER_EN = false) generate
621 2 zero_gravi
    bootrom_rdata <= (others => '0');
622
    bootrom_ack   <= '0';
623
  end generate;
624
 
625
 
626
  -- External Wishbone Gateway (WISHBONE) ---------------------------------------------------
627
  -- -------------------------------------------------------------------------------------------
628
  neorv32_wishbone_inst_true:
629 44 zero_gravi
  if (MEM_EXT_EN = true) generate
630 2 zero_gravi
    neorv32_wishbone_inst: neorv32_wishbone
631
    generic map (
632 45 zero_gravi
      WB_PIPELINED_MODE => wb_pipe_mode_c,    -- false: classic/standard wishbone mode, true: pipelined wishbone mode
633 23 zero_gravi
      -- Internal instruction memory --
634 45 zero_gravi
      MEM_INT_IMEM_EN   => MEM_INT_IMEM_EN,   -- implement processor-internal instruction memory
635
      MEM_INT_IMEM_SIZE => MEM_INT_IMEM_SIZE, -- size of processor-internal instruction memory in bytes
636 23 zero_gravi
      -- Internal data memory --
637 45 zero_gravi
      MEM_INT_DMEM_EN   => MEM_INT_DMEM_EN,   -- implement processor-internal data memory
638
      MEM_INT_DMEM_SIZE => MEM_INT_DMEM_SIZE  -- size of processor-internal data memory in bytes
639 2 zero_gravi
    )
640
    port map (
641
      -- global control --
642 39 zero_gravi
      clk_i     => clk_i,          -- global clock line
643
      rstn_i    => sys_rstn,       -- global reset line, low-active
644 2 zero_gravi
      -- host access --
645 39 zero_gravi
      src_i     => p_bus.src,      -- access type (0: data, 1:instruction)
646
      addr_i    => p_bus.addr,     -- address
647
      rden_i    => p_bus.re,       -- read enable
648
      wren_i    => p_bus.we,       -- write enable
649
      ben_i     => p_bus.ben,      -- byte write enable
650
      data_i    => p_bus.wdata,    -- data in
651
      data_o    => wishbone_rdata, -- data out
652
      cancel_i  => p_bus.cancel,   -- cancel current transaction
653
      lock_i    => p_bus.lock,     -- locked/exclusive bus access
654
      ack_o     => wishbone_ack,   -- transfer acknowledge
655
      err_o     => wishbone_err,   -- transfer error
656
      priv_i    => p_bus.priv,     -- current CPU privilege level
657 2 zero_gravi
      -- wishbone interface --
658 39 zero_gravi
      wb_tag_o  => wb_tag_o,       -- tag
659
      wb_adr_o  => wb_adr_o,       -- address
660
      wb_dat_i  => wb_dat_i,       -- read data
661
      wb_dat_o  => wb_dat_o,       -- write data
662
      wb_we_o   => wb_we_o,        -- read/write
663
      wb_sel_o  => wb_sel_o,       -- byte enable
664
      wb_stb_o  => wb_stb_o,       -- strobe
665
      wb_cyc_o  => wb_cyc_o,       -- valid cycle
666
      wb_lock_o => wb_lock_o,      -- locked/exclusive bus access
667
      wb_ack_i  => wb_ack_i,       -- transfer acknowledge
668
      wb_err_i  => wb_err_i        -- transfer error
669 2 zero_gravi
    );
670
  end generate;
671
 
672
  neorv32_wishbone_inst_false:
673 44 zero_gravi
  if (MEM_EXT_EN = false) generate
674 2 zero_gravi
    wishbone_rdata <= (others => '0');
675
    wishbone_ack   <= '0';
676
    wishbone_err   <= '0';
677
    --
678 39 zero_gravi
    wb_adr_o  <= (others => '0');
679
    wb_dat_o  <= (others => '0');
680
    wb_we_o   <= '0';
681
    wb_sel_o  <= (others => '0');
682
    wb_stb_o  <= '0';
683
    wb_cyc_o  <= '0';
684
    wb_lock_o <= '0';
685
    wb_tag_o  <= (others => '0');
686 2 zero_gravi
  end generate;
687
 
688
 
689
  -- IO Access? -----------------------------------------------------------------------------
690
  -- -------------------------------------------------------------------------------------------
691 12 zero_gravi
  io_acc  <= '1' when (p_bus.addr(data_width_c-1 downto index_size_f(io_size_c)) = io_base_c(data_width_c-1 downto index_size_f(io_size_c))) else '0';
692 40 zero_gravi
  io_rden <= io_acc and p_bus.re and (not p_bus.src); -- PMA: no_execute for IO region
693 47 zero_gravi
  -- the default NEORV32 peripheral/IO devices in the IO area can only be written in word mode (reduces HW complexity)
694
  io_wren <= io_acc and p_bus.we and and_all_f(p_bus.ben) and (not p_bus.src); -- PMA: write32 only, no_execute for IO region
695 2 zero_gravi
 
696
 
697 47 zero_gravi
  -- Custom Functions Subsystem (CFS) -------------------------------------------------------
698
  -- -------------------------------------------------------------------------------------------
699
  neorv32_cfs_inst_true:
700
  if (IO_CFS_EN = true) generate
701
    neorv32_cfs_inst: neorv32_cfs
702
    generic map (
703
      CFS_CONFIG => IO_CFS_CONFIG     -- custom CFS configuration generic
704
    )
705
    port map (
706
      -- host access --
707
      clk_i       => clk_i,           -- global clock line
708
      rstn_i      => sys_rstn,        -- global reset line, low-active, use as async
709
      addr_i      => p_bus.addr,      -- address
710
      rden_i      => io_rden,         -- read enable
711
      wren_i      => io_wren,         -- byte write enable
712
      data_i      => p_bus.wdata,     -- data in
713
      data_o      => cfs_rdata,       -- data out
714
      ack_o       => cfs_ack,         -- transfer acknowledge
715
      err_o       => cfs_err,         -- transfer error
716
      -- clock generator --
717
      clkgen_en_o => cfs_cg_en,       -- enable clock generator
718
      clkgen_i    => clk_gen,         -- "clock" inputs
719
      -- CPU state --
720
      sleep_i     => cpu_sleep,       -- set if cpu is in sleep mode
721
      -- interrupt --
722
      irq_o       => cfs_irq,         -- interrupt request
723
      irq_ack_i   => fast_irq_ack(1), -- interrupt acknowledge
724
      -- custom io (conduit) --
725
      cfs_in_i    => cfs_in_i,        -- custom inputs
726
      cfs_out_o   => cfs_out_o        -- custom outputs
727
    );
728
  end generate;
729
 
730
  neorv32_cfs_inst_false:
731
  if (IO_CFS_EN = false) generate
732
    cfs_rdata <= (others => '0');
733
    cfs_ack   <= '0';
734
    cfs_err   <= '0';
735
    cfs_cg_en <= '0';
736
    cfs_irq   <= '0';
737
    cfs_out_o <= (others => '0');
738
  end generate;
739
 
740
 
741 2 zero_gravi
  -- General Purpose Input/Output Port (GPIO) -----------------------------------------------
742
  -- -------------------------------------------------------------------------------------------
743
  neorv32_gpio_inst_true:
744 44 zero_gravi
  if (IO_GPIO_EN = true) generate
745 2 zero_gravi
    neorv32_gpio_inst: neorv32_gpio
746
    port map (
747
      -- host access --
748 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
749
      addr_i => p_bus.addr,  -- address
750
      rden_i => io_rden,     -- read enable
751
      wren_i => io_wren,     -- write enable
752
      data_i => p_bus.wdata, -- data in
753
      data_o => gpio_rdata,  -- data out
754
      ack_o  => gpio_ack,    -- transfer acknowledge
755 2 zero_gravi
      -- parallel io --
756
      gpio_o => gpio_o,
757
      gpio_i => gpio_i,
758
      -- interrupt --
759 12 zero_gravi
      irq_o  => gpio_irq     -- pin-change interrupt
760 2 zero_gravi
    );
761
  end generate;
762
 
763
  neorv32_gpio_inst_false:
764 44 zero_gravi
  if (IO_GPIO_EN = false) generate
765 2 zero_gravi
    gpio_rdata <= (others => '0');
766
    gpio_ack   <= '0';
767
    gpio_o     <= (others => '0');
768
    gpio_irq   <= '0';
769
  end generate;
770
 
771
 
772
  -- Watch Dog Timer (WDT) ------------------------------------------------------------------
773
  -- -------------------------------------------------------------------------------------------
774
  neorv32_wdt_inst_true:
775 44 zero_gravi
  if (IO_WDT_EN = true) generate
776 2 zero_gravi
    neorv32_wdt_inst: neorv32_wdt
777
    port map (
778
      -- host access --
779 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
780
      rstn_i      => ext_rstn,    -- global reset line, low-active
781
      rden_i      => io_rden,     -- read enable
782
      wren_i      => io_wren,     -- write enable
783
      addr_i      => p_bus.addr,  -- address
784
      data_i      => p_bus.wdata, -- data in
785
      data_o      => wdt_rdata,   -- data out
786
      ack_o       => wdt_ack,     -- transfer acknowledge
787 2 zero_gravi
      -- clock generator --
788 12 zero_gravi
      clkgen_en_o => wdt_cg_en,   -- enable clock generator
789 2 zero_gravi
      clkgen_i    => clk_gen,
790
      -- timeout event --
791 12 zero_gravi
      irq_o       => wdt_irq,     -- timeout IRQ
792
      rstn_o      => wdt_rstn     -- timeout reset, low_active, use it as async!
793 2 zero_gravi
    );
794
  end generate;
795
 
796
  neorv32_wdt_inst_false:
797 44 zero_gravi
  if (IO_WDT_EN = false) generate
798 2 zero_gravi
    wdt_rdata <= (others => '0');
799
    wdt_ack   <= '0';
800
    wdt_irq   <= '0';
801
    wdt_rstn  <= '1';
802
    wdt_cg_en <= '0';
803
  end generate;
804
 
805
 
806
  -- Machine System Timer (MTIME) -----------------------------------------------------------
807
  -- -------------------------------------------------------------------------------------------
808
  neorv32_mtime_inst_true:
809 44 zero_gravi
  if (IO_MTIME_EN = true) generate
810 2 zero_gravi
    neorv32_mtime_inst: neorv32_mtime
811
    port map (
812
      -- host access --
813 12 zero_gravi
      clk_i     => clk_i,       -- global clock line
814
      rstn_i    => sys_rstn,    -- global reset, low-active, async
815
      addr_i    => p_bus.addr,  -- address
816
      rden_i    => io_rden,     -- read enable
817
      wren_i    => io_wren,     -- write enable
818
      data_i    => p_bus.wdata, -- data in
819
      data_o    => mtime_rdata, -- data out
820
      ack_o     => mtime_ack,   -- transfer acknowledge
821 11 zero_gravi
      -- time output for CPU --
822 12 zero_gravi
      time_o    => mtime_time,  -- current system time
823 2 zero_gravi
      -- interrupt --
824 12 zero_gravi
      irq_o     => mtime_irq    -- interrupt request
825 2 zero_gravi
    );
826
  end generate;
827
 
828
  neorv32_mtime_inst_false:
829 44 zero_gravi
  if (IO_MTIME_EN = false) generate
830 2 zero_gravi
    mtime_rdata <= (others => '0');
831 40 zero_gravi
    mtime_time  <= mtime_i; -- use external machine timer time signal
832 2 zero_gravi
    mtime_ack   <= '0';
833 34 zero_gravi
    mtime_irq   <= mtime_irq_i; -- use external machine timer interrupt
834 2 zero_gravi
  end generate;
835
 
836
 
837
  -- Universal Asynchronous Receiver/Transmitter (UART) -------------------------------------
838
  -- -------------------------------------------------------------------------------------------
839
  neorv32_uart_inst_true:
840 44 zero_gravi
  if (IO_UART_EN = true) generate
841 2 zero_gravi
    neorv32_uart_inst: neorv32_uart
842
    port map (
843
      -- host access --
844 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
845
      addr_i      => p_bus.addr,  -- address
846
      rden_i      => io_rden,     -- read enable
847
      wren_i      => io_wren,     -- write enable
848
      data_i      => p_bus.wdata, -- data in
849
      data_o      => uart_rdata,  -- data out
850
      ack_o       => uart_ack,    -- transfer acknowledge
851 2 zero_gravi
      -- clock generator --
852 12 zero_gravi
      clkgen_en_o => uart_cg_en,  -- enable clock generator
853 2 zero_gravi
      clkgen_i    => clk_gen,
854
      -- com lines --
855
      uart_txd_o  => uart_txd_o,
856
      uart_rxd_i  => uart_rxd_i,
857
      -- interrupts --
858 12 zero_gravi
      uart_irq_o  => uart_irq     -- uart rx/tx interrupt
859 2 zero_gravi
    );
860
  end generate;
861
 
862
  neorv32_uart_inst_false:
863 44 zero_gravi
  if (IO_UART_EN = false) generate
864 2 zero_gravi
    uart_rdata <= (others => '0');
865
    uart_ack   <= '0';
866
    uart_txd_o <= '0';
867
    uart_cg_en <= '0';
868
    uart_irq   <= '0';
869
  end generate;
870
 
871
 
872
  -- Serial Peripheral Interface (SPI) ------------------------------------------------------
873
  -- -------------------------------------------------------------------------------------------
874
  neorv32_spi_inst_true:
875 44 zero_gravi
  if (IO_SPI_EN = true) generate
876 2 zero_gravi
    neorv32_spi_inst: neorv32_spi
877
    port map (
878
      -- host access --
879 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
880
      addr_i      => p_bus.addr,  -- address
881
      rden_i      => io_rden,     -- read enable
882
      wren_i      => io_wren,     -- write enable
883
      data_i      => p_bus.wdata, -- data in
884
      data_o      => spi_rdata,   -- data out
885
      ack_o       => spi_ack,     -- transfer acknowledge
886 2 zero_gravi
      -- clock generator --
887 12 zero_gravi
      clkgen_en_o => spi_cg_en,   -- enable clock generator
888 2 zero_gravi
      clkgen_i    => clk_gen,
889
      -- com lines --
890 12 zero_gravi
      spi_sck_o   => spi_sck_o,   -- SPI serial clock
891
      spi_sdo_o   => spi_sdo_o,   -- controller data out, peripheral data in
892
      spi_sdi_i   => spi_sdi_i,   -- controller data in, peripheral data out
893
      spi_csn_o   => spi_csn_o,   -- SPI CS
894 2 zero_gravi
      -- interrupt --
895 12 zero_gravi
      spi_irq_o   => spi_irq      -- transmission done interrupt
896 2 zero_gravi
    );
897
  end generate;
898
 
899
  neorv32_spi_inst_false:
900 44 zero_gravi
  if (IO_SPI_EN = false) generate
901 2 zero_gravi
    spi_rdata  <= (others => '0');
902
    spi_ack    <= '0';
903 6 zero_gravi
    spi_sck_o  <= '0';
904
    spi_sdo_o  <= '0';
905 2 zero_gravi
    spi_csn_o  <= (others => '1'); -- CSn lines are low-active
906
    spi_cg_en  <= '0';
907
    spi_irq    <= '0';
908
  end generate;
909
 
910
 
911
  -- Two-Wire Interface (TWI) ---------------------------------------------------------------
912
  -- -------------------------------------------------------------------------------------------
913
  neorv32_twi_inst_true:
914 44 zero_gravi
  if (IO_TWI_EN = true) generate
915 2 zero_gravi
    neorv32_twi_inst: neorv32_twi
916
    port map (
917
      -- host access --
918 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
919
      addr_i      => p_bus.addr,  -- address
920
      rden_i      => io_rden,     -- read enable
921
      wren_i      => io_wren,     -- write enable
922
      data_i      => p_bus.wdata, -- data in
923
      data_o      => twi_rdata,   -- data out
924
      ack_o       => twi_ack,     -- transfer acknowledge
925 2 zero_gravi
      -- clock generator --
926 12 zero_gravi
      clkgen_en_o => twi_cg_en,   -- enable clock generator
927 2 zero_gravi
      clkgen_i    => clk_gen,
928
      -- com lines --
929 12 zero_gravi
      twi_sda_io  => twi_sda_io,  -- serial data line
930
      twi_scl_io  => twi_scl_io,  -- serial clock line
931 2 zero_gravi
      -- interrupt --
932 12 zero_gravi
      twi_irq_o   => twi_irq      -- transfer done IRQ
933 2 zero_gravi
    );
934
  end generate;
935
 
936
  neorv32_twi_inst_false:
937 44 zero_gravi
  if (IO_TWI_EN = false) generate
938 2 zero_gravi
    twi_rdata  <= (others => '0');
939
    twi_ack    <= '0';
940 35 zero_gravi
--  twi_sda_io <= 'Z';
941
--  twi_scl_io <= 'Z';
942 2 zero_gravi
    twi_cg_en  <= '0';
943
    twi_irq    <= '0';
944
  end generate;
945
 
946
 
947
  -- Pulse-Width Modulation Controller (PWM) ------------------------------------------------
948
  -- -------------------------------------------------------------------------------------------
949
  neorv32_pwm_inst_true:
950 44 zero_gravi
  if (IO_PWM_EN = true) generate
951 2 zero_gravi
    neorv32_pwm_inst: neorv32_pwm
952
    port map (
953
      -- host access --
954 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
955
      addr_i      => p_bus.addr,  -- address
956
      rden_i      => io_rden,     -- read enable
957
      wren_i      => io_wren,     -- write enable
958
      data_i      => p_bus.wdata, -- data in
959
      data_o      => pwm_rdata,   -- data out
960
      ack_o       => pwm_ack,     -- transfer acknowledge
961 2 zero_gravi
      -- clock generator --
962 12 zero_gravi
      clkgen_en_o => pwm_cg_en,   -- enable clock generator
963 2 zero_gravi
      clkgen_i    => clk_gen,
964
      -- pwm output channels --
965
      pwm_o       => pwm_o
966
    );
967
  end generate;
968
 
969
  neorv32_pwm_inst_false:
970 44 zero_gravi
  if (IO_PWM_EN = false) generate
971 2 zero_gravi
    pwm_rdata <= (others => '0');
972
    pwm_ack   <= '0';
973
    pwm_cg_en <= '0';
974
    pwm_o     <= (others => '0');
975
  end generate;
976
 
977
 
978
  -- True Random Number Generator (TRNG) ----------------------------------------------------
979
  -- -------------------------------------------------------------------------------------------
980
  neorv32_trng_inst_true:
981 44 zero_gravi
  if (IO_TRNG_EN = true) generate
982 2 zero_gravi
    neorv32_trng_inst: neorv32_trng
983
    port map (
984
      -- host access --
985 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
986
      addr_i => p_bus.addr,  -- address
987
      rden_i => io_rden,     -- read enable
988
      wren_i => io_wren,     -- write enable
989
      data_i => p_bus.wdata, -- data in
990
      data_o => trng_rdata,  -- data out
991
      ack_o  => trng_ack     -- transfer acknowledge
992 2 zero_gravi
    );
993
  end generate;
994
 
995
  neorv32_trng_inst_false:
996 44 zero_gravi
  if (IO_TRNG_EN = false) generate
997 2 zero_gravi
    trng_rdata <= (others => '0');
998
    trng_ack   <= '0';
999
  end generate;
1000
 
1001
 
1002 12 zero_gravi
  -- System Configuration Information Memory (SYSINFO) --------------------------------------
1003
  -- -------------------------------------------------------------------------------------------
1004
  neorv32_sysinfo_inst: neorv32_sysinfo
1005
  generic map (
1006
    -- General --
1007 45 zero_gravi
    CLOCK_FREQUENCY      => CLOCK_FREQUENCY,      -- clock frequency of clk_i in Hz
1008
    BOOTLOADER_EN        => BOOTLOADER_EN,        -- implement processor-internal bootloader?
1009
    USER_CODE            => USER_CODE,            -- custom user code
1010 23 zero_gravi
    -- internal Instruction memory --
1011 45 zero_gravi
    MEM_INT_IMEM_EN      => MEM_INT_IMEM_EN,      -- implement processor-internal instruction memory
1012
    MEM_INT_IMEM_SIZE    => MEM_INT_IMEM_SIZE,    -- size of processor-internal instruction memory in bytes
1013
    MEM_INT_IMEM_ROM     => MEM_INT_IMEM_ROM,     -- implement processor-internal instruction memory as ROM
1014 23 zero_gravi
    -- Internal Data memory --
1015 45 zero_gravi
    MEM_INT_DMEM_EN      => MEM_INT_DMEM_EN,      -- implement processor-internal data memory
1016
    MEM_INT_DMEM_SIZE    => MEM_INT_DMEM_SIZE,    -- size of processor-internal data memory in bytes
1017 41 zero_gravi
    -- Internal Cache memory --
1018 45 zero_gravi
    ICACHE_EN            => ICACHE_EN,            -- implement instruction cache
1019
    ICACHE_NUM_BLOCKS    => ICACHE_NUM_BLOCKS,    -- i-cache: number of blocks (min 2), has to be a power of 2
1020
    ICACHE_BLOCK_SIZE    => ICACHE_BLOCK_SIZE,    -- i-cache: block size in bytes (min 4), has to be a power of 2
1021
    ICACHE_ASSOCIATIVITY => ICACHE_ASSOCIATIVITY, -- i-cache: associativity (min 1), has to be a power 2
1022 23 zero_gravi
    -- External memory interface --
1023 45 zero_gravi
    MEM_EXT_EN           => MEM_EXT_EN,           -- implement external memory bus interface?
1024 12 zero_gravi
    -- Processor peripherals --
1025 45 zero_gravi
    IO_GPIO_EN           => IO_GPIO_EN,           -- implement general purpose input/output port unit (GPIO)?
1026
    IO_MTIME_EN          => IO_MTIME_EN,          -- implement machine system timer (MTIME)?
1027
    IO_UART_EN           => IO_UART_EN,           -- implement universal asynchronous receiver/transmitter (UART)?
1028
    IO_SPI_EN            => IO_SPI_EN,            -- implement serial peripheral interface (SPI)?
1029
    IO_TWI_EN            => IO_TWI_EN,            -- implement two-wire interface (TWI)?
1030
    IO_PWM_EN            => IO_PWM_EN,            -- implement pulse-width modulation unit (PWM)?
1031
    IO_WDT_EN            => IO_WDT_EN,            -- implement watch dog timer (WDT)?
1032
    IO_TRNG_EN           => IO_TRNG_EN,           -- implement true random number generator (TRNG)?
1033 47 zero_gravi
    IO_CFS_EN            => IO_CFS_EN             -- implement custom functions subsystem (CFS)?
1034 12 zero_gravi
  )
1035
  port map (
1036
    -- host access --
1037
    clk_i  => clk_i,         -- global clock line
1038
    addr_i => p_bus.addr,    -- address
1039
    rden_i => io_rden,       -- read enable
1040
    data_o => sysinfo_rdata, -- data out
1041
    ack_o  => sysinfo_ack    -- transfer acknowledge
1042
  );
1043
 
1044
 
1045 2 zero_gravi
end neorv32_top_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.