OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_top.vhd] - Blame information for rev 56

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Processor Top Entity >>                                                          #
3
-- # ********************************************************************************************* #
4 18 zero_gravi
-- # This is the top entity of the NEORV32 PROCESSOR. Instantiate this unit in your own project    #
5 2 zero_gravi
-- # and define all the configuration generics according to your needs. Alternatively, you can use #
6 18 zero_gravi
-- # one of the alternative top entities provided in the "rtl/top_templates" folder.               #
7
-- #                                                                                               #
8 55 zero_gravi
-- # Check out the processor's data sheet for more information: docs/NEORV32.pdf                   #
9 2 zero_gravi
-- # ********************************************************************************************* #
10
-- # BSD 3-Clause License                                                                          #
11
-- #                                                                                               #
12 42 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
13 2 zero_gravi
-- #                                                                                               #
14
-- # Redistribution and use in source and binary forms, with or without modification, are          #
15
-- # permitted provided that the following conditions are met:                                     #
16
-- #                                                                                               #
17
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
18
-- #    conditions and the following disclaimer.                                                   #
19
-- #                                                                                               #
20
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
21
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
22
-- #    provided with the distribution.                                                            #
23
-- #                                                                                               #
24
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
25
-- #    endorse or promote products derived from this software without specific prior written      #
26
-- #    permission.                                                                                #
27
-- #                                                                                               #
28
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
29
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
30
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
31
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
32
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
33
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
34
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
35
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
36
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
37
-- # ********************************************************************************************* #
38
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
39
-- #################################################################################################
40
 
41
library ieee;
42
use ieee.std_logic_1164.all;
43
use ieee.numeric_std.all;
44
 
45
library neorv32;
46
use neorv32.neorv32_package.all;
47
 
48
entity neorv32_top is
49
  generic (
50
    -- General --
51 12 zero_gravi
    CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
52 44 zero_gravi
    BOOTLOADER_EN                : boolean := true;   -- implement processor-internal bootloader?
53 12 zero_gravi
    USER_CODE                    : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
54 49 zero_gravi
    HW_THREAD_ID                 : natural := 0;      -- hardware thread id (32-bit)
55 50 zero_gravi
 
56 2 zero_gravi
    -- RISC-V CPU Extensions --
57 39 zero_gravi
    CPU_EXTENSION_RISCV_A        : boolean := false;  -- implement atomic extension?
58 44 zero_gravi
    CPU_EXTENSION_RISCV_B        : boolean := false;  -- implement bit manipulation extensions?
59 11 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
60 8 zero_gravi
    CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
61 11 zero_gravi
    CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement muld/div extension?
62 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
63 55 zero_gravi
    CPU_EXTENSION_RISCV_Zfinx    : boolean := false;  -- implement 32-bit floating-point extension (using INT reg!)
64 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
65 39 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei : boolean := false;  -- implement instruction stream sync.?
66 50 zero_gravi
 
67 19 zero_gravi
    -- Extension Options --
68 23 zero_gravi
    FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
69 39 zero_gravi
    FAST_SHIFT_EN                : boolean := false;  -- use barrel shifter for shift operations
70 56 zero_gravi
    TINY_SHIFT_EN                : boolean := false;  -- use tiny (single-bit) shifter for shift operations
71
    CPU_CNT_WIDTH                : natural := 64;     -- total width of CPU cycle and instret counters (0..64)
72 50 zero_gravi
 
73 15 zero_gravi
    -- Physical Memory Protection (PMP) --
74 42 zero_gravi
    PMP_NUM_REGIONS              : natural := 0;      -- number of regions (0..64)
75
    PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
76 50 zero_gravi
 
77 42 zero_gravi
    -- Hardware Performance Monitors (HPM) --
78 47 zero_gravi
    HPM_NUM_CNTS                 : natural := 0;      -- number of implemented HPM counters (0..29)
79 56 zero_gravi
    HPM_CNT_WIDTH                : natural := 40;     -- total size of HPM counters (1..64)
80 50 zero_gravi
 
81 23 zero_gravi
    -- Internal Instruction memory --
82 44 zero_gravi
    MEM_INT_IMEM_EN              : boolean := true;   -- implement processor-internal instruction memory
83 8 zero_gravi
    MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
84
    MEM_INT_IMEM_ROM             : boolean := false;  -- implement processor-internal instruction memory as ROM
85 50 zero_gravi
 
86 23 zero_gravi
    -- Internal Data memory --
87 44 zero_gravi
    MEM_INT_DMEM_EN              : boolean := true;   -- implement processor-internal data memory
88 8 zero_gravi
    MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
89 50 zero_gravi
 
90 41 zero_gravi
    -- Internal Cache memory --
91 44 zero_gravi
    ICACHE_EN                    : boolean := false;  -- implement instruction cache
92 41 zero_gravi
    ICACHE_NUM_BLOCKS            : natural := 4;      -- i-cache: number of blocks (min 1), has to be a power of 2
93
    ICACHE_BLOCK_SIZE            : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
94 45 zero_gravi
    ICACHE_ASSOCIATIVITY         : natural := 1;      -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
95 50 zero_gravi
 
96 23 zero_gravi
    -- External memory interface --
97 44 zero_gravi
    MEM_EXT_EN                   : boolean := false;  -- implement external memory bus interface?
98 50 zero_gravi
 
99 2 zero_gravi
    -- Processor peripherals --
100 44 zero_gravi
    IO_GPIO_EN                   : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
101
    IO_MTIME_EN                  : boolean := true;   -- implement machine system timer (MTIME)?
102 50 zero_gravi
    IO_UART0_EN                  : boolean := true;   -- implement primary universal asynchronous receiver/transmitter (UART0)?
103
    IO_UART1_EN                  : boolean := true;   -- implement secondary universal asynchronous receiver/transmitter (UART1)?
104 44 zero_gravi
    IO_SPI_EN                    : boolean := true;   -- implement serial peripheral interface (SPI)?
105
    IO_TWI_EN                    : boolean := true;   -- implement two-wire interface (TWI)?
106
    IO_PWM_EN                    : boolean := true;   -- implement pulse-width modulation unit (PWM)?
107
    IO_WDT_EN                    : boolean := true;   -- implement watch dog timer (WDT)?
108
    IO_TRNG_EN                   : boolean := false;  -- implement true random number generator (TRNG)?
109 47 zero_gravi
    IO_CFS_EN                    : boolean := false;  -- implement custom functions subsystem (CFS)?
110 56 zero_gravi
    IO_CFS_CONFIG                : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom CFS configuration generic
111 52 zero_gravi
    IO_CFS_IN_SIZE               : positive := 32;    -- size of CFS input conduit in bits
112
    IO_CFS_OUT_SIZE              : positive := 32;    -- size of CFS output conduit in bits
113
    IO_NCO_EN                    : boolean := true;   -- implement numerically-controlled oscillator (NCO)?
114
    IO_NEOLED_EN                 : boolean := true    -- implement NeoPixel-compatible smart LED interface (NEOLED)?
115 2 zero_gravi
  );
116
  port (
117
    -- Global control --
118 34 zero_gravi
    clk_i       : in  std_ulogic := '0'; -- global clock, rising edge
119
    rstn_i      : in  std_ulogic := '0'; -- global reset, low-active, async
120 50 zero_gravi
 
121 44 zero_gravi
    -- Wishbone bus interface (available if MEM_EXT_EN = true) --
122 53 zero_gravi
    wb_tag_o    : out std_ulogic_vector(03 downto 0); -- request tag
123 34 zero_gravi
    wb_adr_o    : out std_ulogic_vector(31 downto 0); -- address
124
    wb_dat_i    : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- read data
125
    wb_dat_o    : out std_ulogic_vector(31 downto 0); -- write data
126
    wb_we_o     : out std_ulogic; -- read/write
127
    wb_sel_o    : out std_ulogic_vector(03 downto 0); -- byte enable
128
    wb_stb_o    : out std_ulogic; -- strobe
129
    wb_cyc_o    : out std_ulogic; -- valid cycle
130 53 zero_gravi
    wb_tag_i    : in  std_ulogic := '0'; -- response tag
131 34 zero_gravi
    wb_ack_i    : in  std_ulogic := '0'; -- transfer acknowledge
132
    wb_err_i    : in  std_ulogic := '0'; -- transfer error
133 50 zero_gravi
 
134 44 zero_gravi
    -- Advanced memory control signals (available if MEM_EXT_EN = true) --
135 34 zero_gravi
    fence_o     : out std_ulogic; -- indicates an executed FENCE operation
136
    fencei_o    : out std_ulogic; -- indicates an executed FENCEI operation
137 50 zero_gravi
 
138 44 zero_gravi
    -- GPIO (available if IO_GPIO_EN = true) --
139 34 zero_gravi
    gpio_o      : out std_ulogic_vector(31 downto 0); -- parallel output
140
    gpio_i      : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- parallel input
141 50 zero_gravi
 
142
    -- primary UART0 (available if IO_UART0_EN = true) --
143
    uart0_txd_o : out std_ulogic; -- UART0 send data
144
    uart0_rxd_i : in  std_ulogic := '0'; -- UART0 receive data
145 51 zero_gravi
    uart0_rts_o : out std_ulogic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
146
    uart0_cts_i : in  std_ulogic := '0'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional
147 50 zero_gravi
 
148
    -- secondary UART1 (available if IO_UART1_EN = true) --
149
    uart1_txd_o : out std_ulogic; -- UART1 send data
150
    uart1_rxd_i : in  std_ulogic := '0'; -- UART1 receive data
151 51 zero_gravi
    uart1_rts_o : out std_ulogic; -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
152
    uart1_cts_i : in  std_ulogic := '0'; -- hw flow control: UART1.TX allowed to transmit, low-active, optional
153 50 zero_gravi
 
154 44 zero_gravi
    -- SPI (available if IO_SPI_EN = true) --
155 34 zero_gravi
    spi_sck_o   : out std_ulogic; -- SPI serial clock
156
    spi_sdo_o   : out std_ulogic; -- controller data out, peripheral data in
157
    spi_sdi_i   : in  std_ulogic := '0'; -- controller data in, peripheral data out
158 50 zero_gravi
    spi_csn_o   : out std_ulogic_vector(07 downto 0); -- chip-select
159
 
160 44 zero_gravi
    -- TWI (available if IO_TWI_EN = true) --
161 35 zero_gravi
    twi_sda_io  : inout std_logic; -- twi serial data line
162
    twi_scl_io  : inout std_logic; -- twi serial clock line
163 50 zero_gravi
 
164 44 zero_gravi
    -- PWM (available if IO_PWM_EN = true) --
165 34 zero_gravi
    pwm_o       : out std_ulogic_vector(03 downto 0); -- pwm channels
166 50 zero_gravi
 
167 47 zero_gravi
    -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) --
168 52 zero_gravi
    cfs_in_i    : in  std_ulogic_vector(IO_CFS_IN_SIZE-1  downto 0); -- custom CFS inputs conduit
169
    cfs_out_o   : out std_ulogic_vector(IO_CFS_OUT_SIZE-1 downto 0); -- custom CFS outputs conduit
170 50 zero_gravi
 
171 49 zero_gravi
    -- NCO output (available if IO_NCO_EN = true) --
172
    nco_o       : out std_ulogic_vector(02 downto 0); -- numerically-controlled oscillator channels
173 50 zero_gravi
 
174 52 zero_gravi
    -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
175
    neoled_o    : out std_ulogic; -- async serial data line
176
 
177 44 zero_gravi
    -- system time input from external MTIME (available if IO_MTIME_EN = false) --
178 40 zero_gravi
    mtime_i     : in  std_ulogic_vector(63 downto 0) := (others => '0'); -- current system time
179 50 zero_gravi
 
180 14 zero_gravi
    -- Interrupts --
181 50 zero_gravi
    soc_firq_i  : in  std_ulogic_vector(5 downto 0) := (others => '0'); -- fast interrupt channels
182 44 zero_gravi
    mtime_irq_i : in  std_ulogic := '0'; -- machine timer interrupt, available if IO_MTIME_EN = false
183 34 zero_gravi
    msw_irq_i   : in  std_ulogic := '0'; -- machine software interrupt
184
    mext_irq_i  : in  std_ulogic := '0'  -- machine external interrupt
185 2 zero_gravi
  );
186
end neorv32_top;
187
 
188
architecture neorv32_top_rtl of neorv32_top is
189
 
190 12 zero_gravi
  -- CPU boot address --
191 44 zero_gravi
  constant cpu_boot_addr_c : std_ulogic_vector(31 downto 0) := cond_sel_stdulogicvector_f(BOOTLOADER_EN, boot_rom_base_c, ispace_base_c);
192 12 zero_gravi
 
193 41 zero_gravi
  -- Bus timeout --
194
  constant bus_timeout_temp_c : natural := 2**index_size_f(bus_timeout_c); -- round to next power-of-two
195 44 zero_gravi
  constant bus_timeout_proc_c : natural := cond_sel_natural_f(ICACHE_EN, ((ICACHE_BLOCK_SIZE/4)*bus_timeout_temp_c)-1, bus_timeout_c);
196 41 zero_gravi
 
197 29 zero_gravi
  -- alignment check for internal memories --
198
  constant imem_align_check_c : std_ulogic_vector(index_size_f(MEM_INT_IMEM_SIZE)-1 downto 0) := (others => '0');
199
  constant dmem_align_check_c : std_ulogic_vector(index_size_f(MEM_INT_DMEM_SIZE)-1 downto 0) := (others => '0');
200
 
201 2 zero_gravi
  -- reset generator --
202
  signal rstn_i_sync0 : std_ulogic;
203
  signal rstn_i_sync1 : std_ulogic;
204
  signal rstn_i_sync2 : std_ulogic;
205
  signal rstn_gen     : std_ulogic_vector(3 downto 0);
206
  signal ext_rstn     : std_ulogic;
207
  signal sys_rstn     : std_ulogic;
208
  signal wdt_rstn     : std_ulogic;
209
 
210
  -- clock generator --
211
  signal clk_div    : std_ulogic_vector(11 downto 0);
212
  signal clk_div_ff : std_ulogic_vector(11 downto 0);
213
  signal clk_gen    : std_ulogic_vector(07 downto 0);
214 52 zero_gravi
  signal clk_gen_en : std_ulogic_vector(08 downto 0);
215 47 zero_gravi
  --
216 52 zero_gravi
  signal wdt_cg_en    : std_ulogic;
217
  signal uart0_cg_en  : std_ulogic;
218
  signal uart1_cg_en  : std_ulogic;
219
  signal spi_cg_en    : std_ulogic;
220
  signal twi_cg_en    : std_ulogic;
221
  signal pwm_cg_en    : std_ulogic;
222
  signal cfs_cg_en    : std_ulogic;
223
  signal nco_cg_en    : std_ulogic;
224
  signal neoled_cg_en : std_ulogic;
225 2 zero_gravi
 
226 12 zero_gravi
  -- bus interface --
227
  type bus_interface_t is record
228 11 zero_gravi
    addr   : std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
229
    rdata  : std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
230
    wdata  : std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
231
    ben    : std_ulogic_vector(03 downto 0); -- byte enable
232
    we     : std_ulogic; -- write enable
233
    re     : std_ulogic; -- read enable
234
    cancel : std_ulogic; -- cancel current transfer
235
    ack    : std_ulogic; -- bus transfer acknowledge
236
    err    : std_ulogic; -- bus transfer error
237 12 zero_gravi
    fence  : std_ulogic; -- fence(i) instruction executed
238 35 zero_gravi
    priv   : std_ulogic_vector(1 downto 0); -- current privilege level
239 40 zero_gravi
    src    : std_ulogic; -- access source (1=instruction fetch, 0=data access)
240 53 zero_gravi
    excl   : std_ulogic; -- exclusive access
241 11 zero_gravi
  end record;
242 41 zero_gravi
  signal cpu_i, i_cache, cpu_d, p_bus : bus_interface_t;
243 53 zero_gravi
  signal cpu_d_exclr : std_ulogic; -- CPU D-bus, exclusive access response
244 2 zero_gravi
 
245
  -- io space access --
246
  signal io_acc  : std_ulogic;
247
  signal io_rden : std_ulogic;
248
  signal io_wren : std_ulogic;
249
 
250
  -- read-back busses -
251
  signal imem_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
252
  signal imem_ack       : std_ulogic;
253
  signal dmem_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
254
  signal dmem_ack       : std_ulogic;
255
  signal bootrom_rdata  : std_ulogic_vector(data_width_c-1 downto 0);
256
  signal bootrom_ack    : std_ulogic;
257
  signal wishbone_rdata : std_ulogic_vector(data_width_c-1 downto 0);
258
  signal wishbone_ack   : std_ulogic;
259
  signal wishbone_err   : std_ulogic;
260 53 zero_gravi
  signal wishbone_exclr : std_ulogic;
261 2 zero_gravi
  signal gpio_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
262
  signal gpio_ack       : std_ulogic;
263
  signal mtime_rdata    : std_ulogic_vector(data_width_c-1 downto 0);
264
  signal mtime_ack      : std_ulogic;
265 50 zero_gravi
  signal uart0_rdata    : std_ulogic_vector(data_width_c-1 downto 0);
266
  signal uart0_ack      : std_ulogic;
267
  signal uart1_rdata    : std_ulogic_vector(data_width_c-1 downto 0);
268
  signal uart1_ack      : std_ulogic;
269 2 zero_gravi
  signal spi_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
270
  signal spi_ack        : std_ulogic;
271
  signal twi_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
272
  signal twi_ack        : std_ulogic;
273
  signal pwm_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
274
  signal pwm_ack        : std_ulogic;
275
  signal wdt_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
276
  signal wdt_ack        : std_ulogic;
277
  signal trng_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
278
  signal trng_ack       : std_ulogic;
279 47 zero_gravi
  signal cfs_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
280
  signal cfs_ack        : std_ulogic;
281 49 zero_gravi
  signal nco_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
282
  signal nco_ack        : std_ulogic;
283 52 zero_gravi
  signal neoled_rdata   : std_ulogic_vector(data_width_c-1 downto 0);
284
  signal neoled_ack     : std_ulogic;
285 12 zero_gravi
  signal sysinfo_rdata  : std_ulogic_vector(data_width_c-1 downto 0);
286
  signal sysinfo_ack    : std_ulogic;
287 2 zero_gravi
 
288
  -- IRQs --
289 48 zero_gravi
  signal mtime_irq    : std_ulogic;
290 47 zero_gravi
  --
291 48 zero_gravi
  signal fast_irq     : std_ulogic_vector(15 downto 0);
292
  signal fast_irq_ack : std_ulogic_vector(15 downto 0);
293
  --
294 50 zero_gravi
  signal gpio_irq      : std_ulogic;
295
  signal wdt_irq       : std_ulogic;
296
  signal uart0_rxd_irq : std_ulogic;
297
  signal uart0_txd_irq : std_ulogic;
298
  signal uart1_rxd_irq : std_ulogic;
299
  signal uart1_txd_irq : std_ulogic;
300
  signal spi_irq       : std_ulogic;
301
  signal twi_irq       : std_ulogic;
302
  signal cfs_irq       : std_ulogic;
303
  signal cfs_irq_ack   : std_ulogic;
304 52 zero_gravi
  signal neoled_irq    : std_ulogic;
305 2 zero_gravi
 
306 11 zero_gravi
  -- misc --
307
  signal mtime_time : std_ulogic_vector(63 downto 0); -- current system time from MTIME
308 47 zero_gravi
  signal cpu_sleep  : std_ulogic; -- CPU is in sleep mode when set
309 11 zero_gravi
 
310 2 zero_gravi
begin
311
 
312
  -- Sanity Checks --------------------------------------------------------------------------
313
  -- -------------------------------------------------------------------------------------------
314 36 zero_gravi
  -- clock --
315
  assert not (CLOCK_FREQUENCY = 0) report "NEORV32 PROCESSOR CONFIG ERROR! Core clock frequency (CLOCK_FREQUENCY) not specified." severity error;
316 23 zero_gravi
  -- internal bootloader ROM --
317 44 zero_gravi
  assert not ((BOOTLOADER_EN = true) and (boot_rom_size_c > boot_rom_max_size_c)) report "NEORV32 PROCESSOR CONFIG ERROR! Boot ROM size out of range." severity error;
318
  assert not ((BOOTLOADER_EN = true) and (MEM_INT_IMEM_ROM = true)) report "NEORV32 PROCESSOR CONFIG WARNING! IMEM is configured as read-only. Bootloader will not be able to load new executables." severity warning;
319 23 zero_gravi
  -- memory system - data/instruction fetch --
320 44 zero_gravi
  assert not ((MEM_EXT_EN = false) and (MEM_INT_DMEM_EN = false)) report "NEORV32 PROCESSOR CONFIG ERROR! Core cannot fetch data without external memory interface and internal data memory." severity error;
321
  assert not ((MEM_EXT_EN = false) and (MEM_INT_IMEM_EN = false) and (BOOTLOADER_EN = false)) report "NEORV32 PROCESSOR CONFIG ERROR! Core cannot fetch instructions without external memory interface, internal data memory and bootloader." severity error;
322 36 zero_gravi
  -- memory system - size --
323 44 zero_gravi
  assert not ((MEM_INT_DMEM_EN = true) and (is_power_of_two_f(MEM_INT_IMEM_SIZE) = false)) report "NEORV32 PROCESSOR CONFIG WARNING! MEM_INT_IMEM_SIZE should be a power of 2 to allow optimal hardware mapping." severity warning;
324
  assert not ((MEM_INT_IMEM_EN = true) and (is_power_of_two_f(MEM_INT_DMEM_SIZE) = false)) report "NEORV32 PROCESSOR CONFIG WARNING! MEM_INT_DMEM_SIZE should be a power of 2 to allow optimal hardware mapping." severity warning;
325 29 zero_gravi
  -- memory system - alignment --
326
  assert not (ispace_base_c(1 downto 0) /= "00") report "NEORV32 PROCESSOR CONFIG ERROR! Instruction memory space base address must be 4-byte-aligned." severity error;
327
  assert not (dspace_base_c(1 downto 0) /= "00") report "NEORV32 PROCESSOR CONFIG ERROR! Data memory space base address must be 4-byte-aligned." severity error;
328 44 zero_gravi
  assert not ((ispace_base_c(index_size_f(MEM_INT_IMEM_SIZE)-1 downto 0) /= imem_align_check_c) and (MEM_INT_IMEM_EN = true)) report "NEORV32 PROCESSOR CONFIG ERROR! Instruction memory space base address has to be aligned to IMEM size." severity error;
329
  assert not ((dspace_base_c(index_size_f(MEM_INT_DMEM_SIZE)-1 downto 0) /= dmem_align_check_c) and (MEM_INT_DMEM_EN = true)) report "NEORV32 PROCESSOR CONFIG ERROR! Data memory space base address has to be aligned to DMEM size." severity error;
330 36 zero_gravi
  -- memory system - layout warning --
331 29 zero_gravi
  assert not (ispace_base_c /= x"00000000") report "NEORV32 PROCESSOR CONFIG WARNING! Non-default base address for instruction address space. Make sure this is sync with the software framework." severity warning;
332
  assert not (dspace_base_c /= x"80000000") report "NEORV32 PROCESSOR CONFIG WARNING! Non-default base address for data address space. Make sure this is sync with the software framework." severity warning;
333 41 zero_gravi
  -- memory system - the i-cache is intended to accelerate instruction fetch via the external memory interface only --
334 44 zero_gravi
  assert not ((ICACHE_EN = true) and (MEM_EXT_EN = false)) report "NEORV32 PROCESSOR CONFIG NOTE. Implementing i-cache without having the external memory interface implemented. The i-cache is intended to accelerate instruction fetch via the external memory interface." severity note;
335 41 zero_gravi
  -- memory system - cached instruction fetch latency check --
336 44 zero_gravi
  assert not (ICACHE_EN = true) report "NEORV32 PROCESSOR CONFIG WARNING! Implementing i-cache. Increasing bus access timeout from " & integer'image(bus_timeout_c) & " cycles to " & integer'image(bus_timeout_proc_c) & " cycles." severity warning;
337 2 zero_gravi
 
338
 
339
  -- Reset Generator ------------------------------------------------------------------------
340
  -- -------------------------------------------------------------------------------------------
341
  reset_generator_sync: process(clk_i)
342
  begin
343
    -- make sure the external reset is free of metastability and has a minimal duration of 1 clock cycle
344
    if rising_edge(clk_i) then
345
      rstn_i_sync0 <= rstn_i;
346
      rstn_i_sync1 <= rstn_i_sync0;
347
      rstn_i_sync2 <= rstn_i_sync1;
348
    end if;
349
  end process reset_generator_sync;
350
 
351
  -- keep internal reset active for at least 4 clock cycles
352
  reset_generator: process(rstn_i_sync1, rstn_i_sync2, clk_i)
353
  begin
354 23 zero_gravi
    if ((rstn_i_sync1 and rstn_i_sync2) = '0') then -- signal stable?
355 2 zero_gravi
      rstn_gen <= (others => '0');
356
    elsif rising_edge(clk_i) then
357
      rstn_gen <= rstn_gen(rstn_gen'left-1 downto 0) & '1';
358
    end if;
359
  end process reset_generator;
360
 
361
  ext_rstn <= rstn_gen(rstn_gen'left); -- the beautified external reset signal
362 23 zero_gravi
  sys_rstn <= ext_rstn and wdt_rstn;   -- system reset - can also be triggered by watchdog
363 2 zero_gravi
 
364
 
365
  -- Clock Generator ------------------------------------------------------------------------
366
  -- -------------------------------------------------------------------------------------------
367
  clock_generator: process(sys_rstn, clk_i)
368
  begin
369
    if (sys_rstn = '0') then
370
      clk_div    <= (others => '0');
371
      clk_div_ff <= (others => '0');
372 50 zero_gravi
      clk_gen_en <= (others => '0');
373 2 zero_gravi
    elsif rising_edge(clk_i) then
374 23 zero_gravi
      -- fresh clocks anyone? --
375 50 zero_gravi
      clk_gen_en(0) <= wdt_cg_en;
376
      clk_gen_en(1) <= uart0_cg_en;
377
      clk_gen_en(2) <= uart1_cg_en;
378
      clk_gen_en(3) <= spi_cg_en;
379
      clk_gen_en(4) <= twi_cg_en;
380
      clk_gen_en(5) <= pwm_cg_en;
381
      clk_gen_en(6) <= cfs_cg_en;
382
      clk_gen_en(7) <= nco_cg_en;
383 52 zero_gravi
      clk_gen_en(8) <= neoled_cg_en;
384 50 zero_gravi
      if (or_all_f(clk_gen_en) = '1') then
385 23 zero_gravi
        clk_div <= std_ulogic_vector(unsigned(clk_div) + 1);
386 2 zero_gravi
      end if;
387 23 zero_gravi
      clk_div_ff <= clk_div;
388 2 zero_gravi
    end if;
389
  end process clock_generator;
390
 
391 23 zero_gravi
  -- clock enables: rising edge detectors --
392
  clock_generator_edge: process(clk_i)
393
  begin
394
    if rising_edge(clk_i) then
395
      clk_gen(clk_div2_c)    <= clk_div(0)  and (not clk_div_ff(0));  -- CLK/2
396
      clk_gen(clk_div4_c)    <= clk_div(1)  and (not clk_div_ff(1));  -- CLK/4
397
      clk_gen(clk_div8_c)    <= clk_div(2)  and (not clk_div_ff(2));  -- CLK/8
398
      clk_gen(clk_div64_c)   <= clk_div(5)  and (not clk_div_ff(5));  -- CLK/64
399
      clk_gen(clk_div128_c)  <= clk_div(6)  and (not clk_div_ff(6));  -- CLK/128
400
      clk_gen(clk_div1024_c) <= clk_div(9)  and (not clk_div_ff(9));  -- CLK/1024
401
      clk_gen(clk_div2048_c) <= clk_div(10) and (not clk_div_ff(10)); -- CLK/2048
402
      clk_gen(clk_div4096_c) <= clk_div(11) and (not clk_div_ff(11)); -- CLK/4096
403
    end if;
404
  end process clock_generator_edge;
405 2 zero_gravi
 
406
 
407 45 zero_gravi
  -- CPU Core -------------------------------------------------------------------------------
408 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
409
  neorv32_cpu_inst: neorv32_cpu
410
  generic map (
411
    -- General --
412 41 zero_gravi
    HW_THREAD_ID                 => HW_THREAD_ID,        -- hardware thread id
413
    CPU_BOOT_ADDR                => cpu_boot_addr_c,     -- cpu boot address
414
    BUS_TIMEOUT                  => bus_timeout_proc_c,  -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
415 2 zero_gravi
    -- RISC-V CPU Extensions --
416 39 zero_gravi
    CPU_EXTENSION_RISCV_A        => CPU_EXTENSION_RISCV_A,        -- implement atomic extension?
417 44 zero_gravi
    CPU_EXTENSION_RISCV_B        => CPU_EXTENSION_RISCV_B,        -- implement bit manipulation extensions?
418 8 zero_gravi
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,        -- implement compressed extension?
419
    CPU_EXTENSION_RISCV_E        => CPU_EXTENSION_RISCV_E,        -- implement embedded RF extension?
420
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,        -- implement muld/div extension?
421 15 zero_gravi
    CPU_EXTENSION_RISCV_U        => CPU_EXTENSION_RISCV_U,        -- implement user mode extension?
422 55 zero_gravi
    CPU_EXTENSION_RISCV_Zfinx    => CPU_EXTENSION_RISCV_Zfinx,    -- implement 32-bit floating-point extension (using INT reg!)
423 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
424
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
425 19 zero_gravi
    -- Extension Options --
426 41 zero_gravi
    FAST_MUL_EN                  => FAST_MUL_EN,         -- use DSPs for M extension's multiplier
427
    FAST_SHIFT_EN                => FAST_SHIFT_EN,       -- use barrel shifter for shift operations
428 56 zero_gravi
    CPU_CNT_WIDTH                => CPU_CNT_WIDTH,       -- total width of CPU cycle and instret counters (0..64)
429 15 zero_gravi
    -- Physical Memory Protection (PMP) --
430 42 zero_gravi
    PMP_NUM_REGIONS              => PMP_NUM_REGIONS,     -- number of regions (0..64)
431
    PMP_MIN_GRANULARITY          => PMP_MIN_GRANULARITY, -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
432
    -- Hardware Performance Monitors (HPM) --
433 56 zero_gravi
    HPM_NUM_CNTS                 => HPM_NUM_CNTS,        -- number of implemented HPM counters (0..29)
434
    HPM_CNT_WIDTH                => HPM_CNT_WIDTH        -- total size of HPM counters (1..64)
435 2 zero_gravi
  )
436
  port map (
437
    -- global control --
438 12 zero_gravi
    clk_i          => clk_i,        -- global clock, rising edge
439
    rstn_i         => sys_rstn,     -- global reset, low-active, async
440 47 zero_gravi
    sleep_o        => cpu_sleep,    -- cpu is in sleep mode when set
441 12 zero_gravi
    -- instruction bus interface --
442
    i_bus_addr_o   => cpu_i.addr,   -- bus access address
443
    i_bus_rdata_i  => cpu_i.rdata,  -- bus read data
444
    i_bus_wdata_o  => cpu_i.wdata,  -- bus write data
445
    i_bus_ben_o    => cpu_i.ben,    -- byte enable
446
    i_bus_we_o     => cpu_i.we,     -- write enable
447
    i_bus_re_o     => cpu_i.re,     -- read enable
448
    i_bus_cancel_o => cpu_i.cancel, -- cancel current bus transaction
449
    i_bus_ack_i    => cpu_i.ack,    -- bus transfer acknowledge
450
    i_bus_err_i    => cpu_i.err,    -- bus transfer error
451
    i_bus_fence_o  => cpu_i.fence,  -- executed FENCEI operation
452 35 zero_gravi
    i_bus_priv_o   => cpu_i.priv,   -- privilege level
453 12 zero_gravi
    -- data bus interface --
454
    d_bus_addr_o   => cpu_d.addr,   -- bus access address
455
    d_bus_rdata_i  => cpu_d.rdata,  -- bus read data
456
    d_bus_wdata_o  => cpu_d.wdata,  -- bus write data
457
    d_bus_ben_o    => cpu_d.ben,    -- byte enable
458
    d_bus_we_o     => cpu_d.we,     -- write enable
459
    d_bus_re_o     => cpu_d.re,     -- read enable
460
    d_bus_cancel_o => cpu_d.cancel, -- cancel current bus transaction
461
    d_bus_ack_i    => cpu_d.ack,    -- bus transfer acknowledge
462
    d_bus_err_i    => cpu_d.err,    -- bus transfer error
463
    d_bus_fence_o  => cpu_d.fence,  -- executed FENCE operation
464 35 zero_gravi
    d_bus_priv_o   => cpu_d.priv,   -- privilege level
465 53 zero_gravi
    d_bus_excl_o   => cpu_d.excl,   -- exclusive access
466
    d_bus_excl_i   => cpu_d_exclr,  -- state of exclusiv access (set if success)
467 11 zero_gravi
    -- system time input from MTIME --
468 12 zero_gravi
    time_i         => mtime_time,   -- current system time
469 14 zero_gravi
    -- interrupts (risc-v compliant) --
470
    msw_irq_i      => msw_irq_i,    -- machine software interrupt
471
    mext_irq_i     => mext_irq_i,   -- machine external interrupt request
472
    mtime_irq_i    => mtime_irq,    -- machine timer interrupt
473
    -- fast interrupts (custom) --
474 47 zero_gravi
    firq_i         => fast_irq,     -- fast interrupt trigger
475
    firq_ack_o     => fast_irq_ack  -- fast interrupt acknowledge mask
476 2 zero_gravi
  );
477
 
478 36 zero_gravi
  -- misc --
479 53 zero_gravi
  cpu_i.excl <= '0'; -- i-fetch cannot do exclusive accesses
480
  cpu_i.src  <= '1'; -- initialized but unused
481
  cpu_d.src  <= '0'; -- initialized but unused
482 36 zero_gravi
 
483 14 zero_gravi
  -- advanced memory control --
484
  fence_o  <= cpu_d.fence; -- indicates an executed FENCE operation
485
  fencei_o <= cpu_i.fence; -- indicates an executed FENCEI operation
486 2 zero_gravi
 
487 47 zero_gravi
  -- fast interrupts - processor-internal --
488 50 zero_gravi
  fast_irq(00) <= wdt_irq;       -- HIGHEST PRIORITY - watchdog timeout
489
  fast_irq(01) <= cfs_irq;       -- custom functions subsystem
490
  fast_irq(02) <= uart0_rxd_irq; -- primary UART (UART0) data received
491
  fast_irq(03) <= uart0_txd_irq; -- primary UART (UART0) sending done
492
  fast_irq(04) <= uart1_rxd_irq; -- secondary UART (UART1) data received
493
  fast_irq(05) <= uart1_txd_irq; -- secondary UART (UART1) sending done
494
  fast_irq(06) <= spi_irq;       -- SPI transmission done
495
  fast_irq(07) <= twi_irq;       -- TWI transmission done
496
  fast_irq(08) <= gpio_irq;      -- GPIO pin-change
497 52 zero_gravi
  fast_irq(09) <= neoled_irq;    -- NEOLED buffer free
498 14 zero_gravi
 
499 48 zero_gravi
  -- fast interrupts - platform level (for custom use) --
500 50 zero_gravi
  fast_irq(10) <= soc_firq_i(0);
501
  fast_irq(11) <= soc_firq_i(1);
502
  fast_irq(12) <= soc_firq_i(2);
503
  fast_irq(13) <= soc_firq_i(3);
504
  fast_irq(14) <= soc_firq_i(4);
505
  fast_irq(15) <= soc_firq_i(5);
506 14 zero_gravi
 
507 51 zero_gravi
  -- CFS IRQ acknowledge --
508
  cfs_irq_ack <= fast_irq_ack(1);
509 48 zero_gravi
 
510
 
511 41 zero_gravi
  -- CPU Instruction Cache ------------------------------------------------------------------
512
  -- -------------------------------------------------------------------------------------------
513
  neorv32_icache_inst_true:
514 44 zero_gravi
  if (ICACHE_EN = true) generate
515 45 zero_gravi
    neorv32_icache_inst: neorv32_icache
516 41 zero_gravi
    generic map (
517 47 zero_gravi
      ICACHE_NUM_BLOCKS => ICACHE_NUM_BLOCKS,   -- number of blocks (min 2), has to be a power of 2
518
      ICACHE_BLOCK_SIZE => ICACHE_BLOCK_SIZE,   -- block size in bytes (min 4), has to be a power of 2
519
      ICACHE_NUM_SETS   => ICACHE_ASSOCIATIVITY -- associativity / number of sets (1=direct_mapped), has to be a power of 2
520 41 zero_gravi
    )
521
    port map (
522
      -- global control --
523
      clk_i         => clk_i,          -- global clock, rising edge
524
      rstn_i        => sys_rstn,       -- global reset, low-active, async
525
      clear_i       => cpu_i.fence,    -- cache clear
526
      -- host controller interface --
527
      host_addr_i   => cpu_i.addr,     -- bus access address
528
      host_rdata_o  => cpu_i.rdata,    -- bus read data
529
      host_wdata_i  => cpu_i.wdata,    -- bus write data
530
      host_ben_i    => cpu_i.ben,      -- byte enable
531
      host_we_i     => cpu_i.we,       -- write enable
532
      host_re_i     => cpu_i.re,       -- read enable
533
      host_cancel_i => cpu_i.cancel,   -- cancel current bus transaction
534
      host_ack_o    => cpu_i.ack,      -- bus transfer acknowledge
535
      host_err_o    => cpu_i.err,      -- bus transfer error
536
      -- peripheral bus interface --
537
      bus_addr_o    => i_cache.addr,   -- bus access address
538
      bus_rdata_i   => i_cache.rdata,  -- bus read data
539
      bus_wdata_o   => i_cache.wdata,  -- bus write data
540
      bus_ben_o     => i_cache.ben,    -- byte enable
541
      bus_we_o      => i_cache.we,     -- write enable
542
      bus_re_o      => i_cache.re,     -- read enable
543
      bus_cancel_o  => i_cache.cancel, -- cancel current bus transaction
544
      bus_ack_i     => i_cache.ack,    -- bus transfer acknowledge
545
      bus_err_i     => i_cache.err     -- bus transfer error
546
    );
547
  end generate;
548
 
549
  neorv32_icache_inst_false:
550 44 zero_gravi
  if (ICACHE_EN = false) generate
551 41 zero_gravi
    i_cache.addr   <= cpu_i.addr;
552
    cpu_i.rdata    <= i_cache.rdata;
553
    i_cache.wdata  <= cpu_i.wdata;
554
    i_cache.ben    <= cpu_i.ben;
555
    i_cache.we     <= cpu_i.we;
556
    i_cache.re     <= cpu_i.re;
557
    i_cache.cancel <= cpu_i.cancel;
558
    cpu_i.ack      <= i_cache.ack;
559
    cpu_i.err      <= i_cache.err;
560
  end generate;
561
 
562 53 zero_gravi
  -- no exclusive accesses for i-fetch --
563
  i_cache.excl <= '0';
564 41 zero_gravi
 
565 53 zero_gravi
 
566 45 zero_gravi
  -- CPU Bus Switch -------------------------------------------------------------------------
567 12 zero_gravi
  -- -------------------------------------------------------------------------------------------
568
  neorv32_busswitch_inst: neorv32_busswitch
569
  generic map (
570
    PORT_CA_READ_ONLY => false, -- set if controller port A is read-only
571
    PORT_CB_READ_ONLY => true   -- set if controller port B is read-only
572
  )
573
  port map (
574
    -- global control --
575 41 zero_gravi
    clk_i           => clk_i,          -- global clock, rising edge
576
    rstn_i          => sys_rstn,       -- global reset, low-active, async
577 12 zero_gravi
    -- controller interface a --
578 41 zero_gravi
    ca_bus_addr_i   => cpu_d.addr,     -- bus access address
579
    ca_bus_rdata_o  => cpu_d.rdata,    -- bus read data
580
    ca_bus_wdata_i  => cpu_d.wdata,    -- bus write data
581
    ca_bus_ben_i    => cpu_d.ben,      -- byte enable
582
    ca_bus_we_i     => cpu_d.we,       -- write enable
583
    ca_bus_re_i     => cpu_d.re,       -- read enable
584
    ca_bus_cancel_i => cpu_d.cancel,   -- cancel current bus transaction
585 53 zero_gravi
    ca_bus_excl_i   => cpu_d.excl,     -- exclusive access
586 41 zero_gravi
    ca_bus_ack_o    => cpu_d.ack,      -- bus transfer acknowledge
587
    ca_bus_err_o    => cpu_d.err,      -- bus transfer error
588 12 zero_gravi
    -- controller interface b --
589 41 zero_gravi
    cb_bus_addr_i   => i_cache.addr,   -- bus access address
590
    cb_bus_rdata_o  => i_cache.rdata,  -- bus read data
591
    cb_bus_wdata_i  => i_cache.wdata,  -- bus write data
592
    cb_bus_ben_i    => i_cache.ben,    -- byte enable
593
    cb_bus_we_i     => i_cache.we,     -- write enable
594
    cb_bus_re_i     => i_cache.re,     -- read enable
595
    cb_bus_cancel_i => i_cache.cancel, -- cancel current bus transaction
596 53 zero_gravi
    cb_bus_excl_i   => i_cache.excl,   -- exclusive access
597 41 zero_gravi
    cb_bus_ack_o    => i_cache.ack,    -- bus transfer acknowledge
598
    cb_bus_err_o    => i_cache.err,    -- bus transfer error
599 12 zero_gravi
    -- peripheral bus --
600 41 zero_gravi
    p_bus_src_o     => p_bus.src,      -- access source: 0 = A (data), 1 = B (instructions)
601
    p_bus_addr_o    => p_bus.addr,     -- bus access address
602
    p_bus_rdata_i   => p_bus.rdata,    -- bus read data
603
    p_bus_wdata_o   => p_bus.wdata,    -- bus write data
604
    p_bus_ben_o     => p_bus.ben,      -- byte enable
605
    p_bus_we_o      => p_bus.we,       -- write enable
606
    p_bus_re_o      => p_bus.re,       -- read enable
607
    p_bus_cancel_o  => p_bus.cancel,   -- cancel current bus transaction
608 53 zero_gravi
    p_bus_excl_o    => p_bus.excl,     -- exclusive access
609 41 zero_gravi
    p_bus_ack_i     => p_bus.ack,      -- bus transfer acknowledge
610
    p_bus_err_i     => p_bus.err       -- bus transfer error
611 12 zero_gravi
  );
612 2 zero_gravi
 
613 53 zero_gravi
  -- static signals --
614
  p_bus.priv <= cpu_i.priv; -- current CPU privilege level: cpu_i.priv == cpu_d.priv
615
 
616 49 zero_gravi
  -- processor bus: CPU transfer data input --
617 50 zero_gravi
  p_bus.rdata <= (imem_rdata or dmem_rdata or bootrom_rdata) or wishbone_rdata or (gpio_rdata or mtime_rdata or uart0_rdata or uart1_rdata or
618 52 zero_gravi
                 spi_rdata or twi_rdata or pwm_rdata or wdt_rdata or trng_rdata or cfs_rdata or nco_rdata or neoled_rdata or  sysinfo_rdata);
619 2 zero_gravi
 
620 49 zero_gravi
  -- processor bus: CPU transfer ACK input --
621 50 zero_gravi
  p_bus.ack <= (imem_ack or dmem_ack or bootrom_ack) or wishbone_ack or (gpio_ack or mtime_ack or uart0_ack or uart1_ack or
622 52 zero_gravi
               spi_ack or twi_ack or pwm_ack or wdt_ack or trng_ack or cfs_ack or nco_ack or neoled_ack or sysinfo_ack);
623 12 zero_gravi
 
624 49 zero_gravi
  -- processor bus: CPU transfer data bus error input --
625 50 zero_gravi
  p_bus.err <= wishbone_err;
626 12 zero_gravi
 
627 53 zero_gravi
  -- exclusive access status --
628
  -- since all internal modules/memories are only accessible to this CPU internal atomic access cannot fail
629
  cpu_d_exclr <= wishbone_exclr; -- only external atomic memory accesses can fail
630 12 zero_gravi
 
631 36 zero_gravi
 
632 2 zero_gravi
  -- Processor-Internal Instruction Memory (IMEM) -------------------------------------------
633
  -- -------------------------------------------------------------------------------------------
634
  neorv32_int_imem_inst_true:
635 44 zero_gravi
  if (MEM_INT_IMEM_EN = true) generate
636 2 zero_gravi
    neorv32_int_imem_inst: neorv32_imem
637
    generic map (
638 23 zero_gravi
      IMEM_BASE      => imem_base_c,       -- memory base address
639 2 zero_gravi
      IMEM_SIZE      => MEM_INT_IMEM_SIZE, -- processor-internal instruction memory size in bytes
640
      IMEM_AS_ROM    => MEM_INT_IMEM_ROM,  -- implement IMEM as read-only memory?
641 45 zero_gravi
      BOOTLOADER_EN  => BOOTLOADER_EN      -- implement and use bootloader?
642 2 zero_gravi
    )
643
    port map (
644 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
645
      rden_i => p_bus.re,    -- read enable
646
      wren_i => p_bus.we,    -- write enable
647
      ben_i  => p_bus.ben,   -- byte write enable
648
      addr_i => p_bus.addr,  -- address
649
      data_i => p_bus.wdata, -- data in
650
      data_o => imem_rdata,  -- data out
651
      ack_o  => imem_ack     -- transfer acknowledge
652 2 zero_gravi
    );
653
  end generate;
654
 
655
  neorv32_int_imem_inst_false:
656 44 zero_gravi
  if (MEM_INT_IMEM_EN = false) generate
657 2 zero_gravi
    imem_rdata <= (others => '0');
658
    imem_ack   <= '0';
659
  end generate;
660
 
661
 
662
  -- Processor-Internal Data Memory (DMEM) --------------------------------------------------
663
  -- -------------------------------------------------------------------------------------------
664
  neorv32_int_dmem_inst_true:
665 44 zero_gravi
  if (MEM_INT_DMEM_EN = true) generate
666 2 zero_gravi
    neorv32_int_dmem_inst: neorv32_dmem
667
    generic map (
668 23 zero_gravi
      DMEM_BASE => dmem_base_c,      -- memory base address
669 2 zero_gravi
      DMEM_SIZE => MEM_INT_DMEM_SIZE -- processor-internal data memory size in bytes
670
    )
671
    port map (
672 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
673
      rden_i => p_bus.re,    -- read enable
674
      wren_i => p_bus.we,    -- write enable
675
      ben_i  => p_bus.ben,   -- byte write enable
676
      addr_i => p_bus.addr,  -- address
677
      data_i => p_bus.wdata, -- data in
678
      data_o => dmem_rdata,  -- data out
679
      ack_o  => dmem_ack     -- transfer acknowledge
680 2 zero_gravi
    );
681
  end generate;
682
 
683
  neorv32_int_dmem_inst_false:
684 44 zero_gravi
  if (MEM_INT_DMEM_EN = false) generate
685 2 zero_gravi
    dmem_rdata <= (others => '0');
686
    dmem_ack   <= '0';
687
  end generate;
688
 
689
 
690
  -- Processor-Internal Bootloader ROM (BOOTROM) --------------------------------------------
691
  -- -------------------------------------------------------------------------------------------
692
  neorv32_boot_rom_inst_true:
693 44 zero_gravi
  if (BOOTLOADER_EN = true) generate
694 2 zero_gravi
    neorv32_boot_rom_inst: neorv32_boot_rom
695 23 zero_gravi
    generic map (
696
      BOOTROM_BASE => boot_rom_base_c, -- boot ROM base address
697
      BOOTROM_SIZE => boot_rom_size_c  -- processor-internal boot TOM memory size in bytes
698
    )
699 2 zero_gravi
    port map (
700
      clk_i  => clk_i,         -- global clock line
701 12 zero_gravi
      rden_i => p_bus.re,      -- read enable
702
      addr_i => p_bus.addr,    -- address
703 2 zero_gravi
      data_o => bootrom_rdata, -- data out
704
      ack_o  => bootrom_ack    -- transfer acknowledge
705
    );
706
  end generate;
707
 
708
  neorv32_boot_rom_inst_false:
709 44 zero_gravi
  if (BOOTLOADER_EN = false) generate
710 2 zero_gravi
    bootrom_rdata <= (others => '0');
711
    bootrom_ack   <= '0';
712
  end generate;
713
 
714
 
715
  -- External Wishbone Gateway (WISHBONE) ---------------------------------------------------
716
  -- -------------------------------------------------------------------------------------------
717
  neorv32_wishbone_inst_true:
718 44 zero_gravi
  if (MEM_EXT_EN = true) generate
719 2 zero_gravi
    neorv32_wishbone_inst: neorv32_wishbone
720
    generic map (
721 45 zero_gravi
      WB_PIPELINED_MODE => wb_pipe_mode_c,    -- false: classic/standard wishbone mode, true: pipelined wishbone mode
722 23 zero_gravi
      -- Internal instruction memory --
723 45 zero_gravi
      MEM_INT_IMEM_EN   => MEM_INT_IMEM_EN,   -- implement processor-internal instruction memory
724
      MEM_INT_IMEM_SIZE => MEM_INT_IMEM_SIZE, -- size of processor-internal instruction memory in bytes
725 23 zero_gravi
      -- Internal data memory --
726 45 zero_gravi
      MEM_INT_DMEM_EN   => MEM_INT_DMEM_EN,   -- implement processor-internal data memory
727
      MEM_INT_DMEM_SIZE => MEM_INT_DMEM_SIZE  -- size of processor-internal data memory in bytes
728 2 zero_gravi
    )
729
    port map (
730
      -- global control --
731 39 zero_gravi
      clk_i     => clk_i,          -- global clock line
732
      rstn_i    => sys_rstn,       -- global reset line, low-active
733 2 zero_gravi
      -- host access --
734 39 zero_gravi
      src_i     => p_bus.src,      -- access type (0: data, 1:instruction)
735
      addr_i    => p_bus.addr,     -- address
736
      rden_i    => p_bus.re,       -- read enable
737
      wren_i    => p_bus.we,       -- write enable
738
      ben_i     => p_bus.ben,      -- byte write enable
739
      data_i    => p_bus.wdata,    -- data in
740
      data_o    => wishbone_rdata, -- data out
741
      cancel_i  => p_bus.cancel,   -- cancel current transaction
742 53 zero_gravi
      excl_i    => p_bus.excl,     -- exclusive access request
743
      excl_o    => wishbone_exclr, -- state of exclusiv access (set if success)
744 39 zero_gravi
      ack_o     => wishbone_ack,   -- transfer acknowledge
745
      err_o     => wishbone_err,   -- transfer error
746
      priv_i    => p_bus.priv,     -- current CPU privilege level
747 2 zero_gravi
      -- wishbone interface --
748 53 zero_gravi
      wb_tag_o  => wb_tag_o,       -- request tag
749 39 zero_gravi
      wb_adr_o  => wb_adr_o,       -- address
750
      wb_dat_i  => wb_dat_i,       -- read data
751
      wb_dat_o  => wb_dat_o,       -- write data
752
      wb_we_o   => wb_we_o,        -- read/write
753
      wb_sel_o  => wb_sel_o,       -- byte enable
754
      wb_stb_o  => wb_stb_o,       -- strobe
755
      wb_cyc_o  => wb_cyc_o,       -- valid cycle
756 53 zero_gravi
      wb_tag_i  => wb_tag_i,       -- response tag
757 39 zero_gravi
      wb_ack_i  => wb_ack_i,       -- transfer acknowledge
758
      wb_err_i  => wb_err_i        -- transfer error
759 2 zero_gravi
    );
760
  end generate;
761
 
762
  neorv32_wishbone_inst_false:
763 44 zero_gravi
  if (MEM_EXT_EN = false) generate
764 2 zero_gravi
    wishbone_rdata <= (others => '0');
765
    wishbone_ack   <= '0';
766
    wishbone_err   <= '0';
767 53 zero_gravi
    wishbone_exclr <= '0';
768 2 zero_gravi
    --
769 53 zero_gravi
    wb_adr_o <= (others => '0');
770
    wb_dat_o <= (others => '0');
771
    wb_we_o  <= '0';
772
    wb_sel_o <= (others => '0');
773
    wb_stb_o <= '0';
774
    wb_cyc_o <= '0';
775
    wb_tag_o <= (others => '0');
776 2 zero_gravi
  end generate;
777
 
778
 
779
  -- IO Access? -----------------------------------------------------------------------------
780
  -- -------------------------------------------------------------------------------------------
781 12 zero_gravi
  io_acc  <= '1' when (p_bus.addr(data_width_c-1 downto index_size_f(io_size_c)) = io_base_c(data_width_c-1 downto index_size_f(io_size_c))) else '0';
782 40 zero_gravi
  io_rden <= io_acc and p_bus.re and (not p_bus.src); -- PMA: no_execute for IO region
783 47 zero_gravi
  -- the default NEORV32 peripheral/IO devices in the IO area can only be written in word mode (reduces HW complexity)
784
  io_wren <= io_acc and p_bus.we and and_all_f(p_bus.ben) and (not p_bus.src); -- PMA: write32 only, no_execute for IO region
785 2 zero_gravi
 
786
 
787 47 zero_gravi
  -- Custom Functions Subsystem (CFS) -------------------------------------------------------
788
  -- -------------------------------------------------------------------------------------------
789
  neorv32_cfs_inst_true:
790
  if (IO_CFS_EN = true) generate
791
    neorv32_cfs_inst: neorv32_cfs
792
    generic map (
793 52 zero_gravi
      CFS_CONFIG   => IO_CFS_CONFIG,  -- custom CFS configuration generic 
794
      CFS_IN_SIZE  => IO_CFS_IN_SIZE, -- size of CFS input conduit in bits
795
      CFS_OUT_SIZE => IO_CFS_OUT_SIZE -- size of CFS output conduit in bits
796 47 zero_gravi
    )
797
    port map (
798
      -- host access --
799
      clk_i       => clk_i,           -- global clock line
800
      rstn_i      => sys_rstn,        -- global reset line, low-active, use as async
801
      addr_i      => p_bus.addr,      -- address
802
      rden_i      => io_rden,         -- read enable
803
      wren_i      => io_wren,         -- byte write enable
804
      data_i      => p_bus.wdata,     -- data in
805
      data_o      => cfs_rdata,       -- data out
806
      ack_o       => cfs_ack,         -- transfer acknowledge
807
      -- clock generator --
808
      clkgen_en_o => cfs_cg_en,       -- enable clock generator
809
      clkgen_i    => clk_gen,         -- "clock" inputs
810
      -- CPU state --
811
      sleep_i     => cpu_sleep,       -- set if cpu is in sleep mode
812
      -- interrupt --
813
      irq_o       => cfs_irq,         -- interrupt request
814 48 zero_gravi
      irq_ack_i   => cfs_irq_ack,     -- interrupt acknowledge
815 47 zero_gravi
      -- custom io (conduit) --
816
      cfs_in_i    => cfs_in_i,        -- custom inputs
817
      cfs_out_o   => cfs_out_o        -- custom outputs
818
    );
819
  end generate;
820
 
821
  neorv32_cfs_inst_false:
822
  if (IO_CFS_EN = false) generate
823
    cfs_rdata <= (others => '0');
824
    cfs_ack   <= '0';
825
    cfs_cg_en <= '0';
826
    cfs_irq   <= '0';
827
    cfs_out_o <= (others => '0');
828
  end generate;
829
 
830
 
831 2 zero_gravi
  -- General Purpose Input/Output Port (GPIO) -----------------------------------------------
832
  -- -------------------------------------------------------------------------------------------
833
  neorv32_gpio_inst_true:
834 44 zero_gravi
  if (IO_GPIO_EN = true) generate
835 2 zero_gravi
    neorv32_gpio_inst: neorv32_gpio
836
    port map (
837
      -- host access --
838 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
839
      addr_i => p_bus.addr,  -- address
840
      rden_i => io_rden,     -- read enable
841
      wren_i => io_wren,     -- write enable
842
      data_i => p_bus.wdata, -- data in
843
      data_o => gpio_rdata,  -- data out
844
      ack_o  => gpio_ack,    -- transfer acknowledge
845 2 zero_gravi
      -- parallel io --
846
      gpio_o => gpio_o,
847
      gpio_i => gpio_i,
848
      -- interrupt --
849 12 zero_gravi
      irq_o  => gpio_irq     -- pin-change interrupt
850 2 zero_gravi
    );
851
  end generate;
852
 
853
  neorv32_gpio_inst_false:
854 44 zero_gravi
  if (IO_GPIO_EN = false) generate
855 2 zero_gravi
    gpio_rdata <= (others => '0');
856
    gpio_ack   <= '0';
857
    gpio_o     <= (others => '0');
858
    gpio_irq   <= '0';
859
  end generate;
860
 
861
 
862
  -- Watch Dog Timer (WDT) ------------------------------------------------------------------
863
  -- -------------------------------------------------------------------------------------------
864
  neorv32_wdt_inst_true:
865 44 zero_gravi
  if (IO_WDT_EN = true) generate
866 2 zero_gravi
    neorv32_wdt_inst: neorv32_wdt
867
    port map (
868
      -- host access --
869 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
870
      rstn_i      => ext_rstn,    -- global reset line, low-active
871
      rden_i      => io_rden,     -- read enable
872
      wren_i      => io_wren,     -- write enable
873
      addr_i      => p_bus.addr,  -- address
874
      data_i      => p_bus.wdata, -- data in
875
      data_o      => wdt_rdata,   -- data out
876
      ack_o       => wdt_ack,     -- transfer acknowledge
877 2 zero_gravi
      -- clock generator --
878 12 zero_gravi
      clkgen_en_o => wdt_cg_en,   -- enable clock generator
879 2 zero_gravi
      clkgen_i    => clk_gen,
880
      -- timeout event --
881 12 zero_gravi
      irq_o       => wdt_irq,     -- timeout IRQ
882
      rstn_o      => wdt_rstn     -- timeout reset, low_active, use it as async!
883 2 zero_gravi
    );
884
  end generate;
885
 
886
  neorv32_wdt_inst_false:
887 44 zero_gravi
  if (IO_WDT_EN = false) generate
888 2 zero_gravi
    wdt_rdata <= (others => '0');
889
    wdt_ack   <= '0';
890
    wdt_irq   <= '0';
891
    wdt_rstn  <= '1';
892
    wdt_cg_en <= '0';
893
  end generate;
894
 
895
 
896
  -- Machine System Timer (MTIME) -----------------------------------------------------------
897
  -- -------------------------------------------------------------------------------------------
898
  neorv32_mtime_inst_true:
899 44 zero_gravi
  if (IO_MTIME_EN = true) generate
900 2 zero_gravi
    neorv32_mtime_inst: neorv32_mtime
901
    port map (
902
      -- host access --
903 12 zero_gravi
      clk_i     => clk_i,       -- global clock line
904
      rstn_i    => sys_rstn,    -- global reset, low-active, async
905
      addr_i    => p_bus.addr,  -- address
906
      rden_i    => io_rden,     -- read enable
907
      wren_i    => io_wren,     -- write enable
908
      data_i    => p_bus.wdata, -- data in
909
      data_o    => mtime_rdata, -- data out
910
      ack_o     => mtime_ack,   -- transfer acknowledge
911 11 zero_gravi
      -- time output for CPU --
912 12 zero_gravi
      time_o    => mtime_time,  -- current system time
913 2 zero_gravi
      -- interrupt --
914 12 zero_gravi
      irq_o     => mtime_irq    -- interrupt request
915 2 zero_gravi
    );
916
  end generate;
917
 
918
  neorv32_mtime_inst_false:
919 44 zero_gravi
  if (IO_MTIME_EN = false) generate
920 2 zero_gravi
    mtime_rdata <= (others => '0');
921 40 zero_gravi
    mtime_time  <= mtime_i; -- use external machine timer time signal
922 2 zero_gravi
    mtime_ack   <= '0';
923 34 zero_gravi
    mtime_irq   <= mtime_irq_i; -- use external machine timer interrupt
924 2 zero_gravi
  end generate;
925
 
926
 
927 51 zero_gravi
  -- Primary Universal Asynchronous Receiver/Transmitter (UART0) ----------------------------
928 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
929 50 zero_gravi
  neorv32_uart0_inst_true:
930
  if (IO_UART0_EN = true) generate
931
    neorv32_uart0_inst: neorv32_uart
932
    generic map (
933
      UART_PRIMARY => true -- true = primary UART (UART0), false = secondary UART (UART1)
934
    )
935 2 zero_gravi
    port map (
936
      -- host access --
937 51 zero_gravi
      clk_i       => clk_i,         -- global clock line
938
      addr_i      => p_bus.addr,    -- address
939
      rden_i      => io_rden,       -- read enable
940
      wren_i      => io_wren,       -- write enable
941
      data_i      => p_bus.wdata,   -- data in
942
      data_o      => uart0_rdata,   -- data out
943
      ack_o       => uart0_ack,     -- transfer acknowledge
944 2 zero_gravi
      -- clock generator --
945 51 zero_gravi
      clkgen_en_o => uart0_cg_en,   -- enable clock generator
946 2 zero_gravi
      clkgen_i    => clk_gen,
947
      -- com lines --
948 50 zero_gravi
      uart_txd_o  => uart0_txd_o,
949
      uart_rxd_i  => uart0_rxd_i,
950 51 zero_gravi
      -- hardware flow control --
951
      uart_rts_o  => uart0_rts_o,   -- UART.RX ready to receive ("RTR"), low-active, optional
952
      uart_cts_i  => uart0_cts_i,   -- UART.TX allowed to transmit, low-active, optional
953 2 zero_gravi
      -- interrupts --
954 50 zero_gravi
      irq_rxd_o   => uart0_rxd_irq, -- uart data received interrupt
955
      irq_txd_o   => uart0_txd_irq  -- uart transmission done interrupt
956 2 zero_gravi
    );
957
  end generate;
958
 
959 50 zero_gravi
  neorv32_uart0_inst_false:
960
  if (IO_UART0_EN = false) generate
961
    uart0_rdata   <= (others => '0');
962
    uart0_ack     <= '0';
963
    uart0_txd_o   <= '0';
964 51 zero_gravi
    uart0_rts_o   <= '0';
965 50 zero_gravi
    uart0_cg_en   <= '0';
966
    uart0_rxd_irq <= '0';
967
    uart0_txd_irq <= '0';
968 2 zero_gravi
  end generate;
969
 
970
 
971 51 zero_gravi
  -- Secondary Universal Asynchronous Receiver/Transmitter (UART1) --------------------------
972 50 zero_gravi
  -- -------------------------------------------------------------------------------------------
973
  neorv32_uart1_inst_true:
974
  if (IO_UART1_EN = true) generate
975
    neorv32_uart1_inst: neorv32_uart
976
    generic map (
977
      UART_PRIMARY => false -- true = primary UART (UART0), false = secondary UART (UART1)
978
    )
979
    port map (
980
      -- host access --
981 51 zero_gravi
      clk_i       => clk_i,         -- global clock line
982
      addr_i      => p_bus.addr,    -- address
983
      rden_i      => io_rden,       -- read enable
984
      wren_i      => io_wren,       -- write enable
985
      data_i      => p_bus.wdata,   -- data in
986
      data_o      => uart1_rdata,   -- data out
987
      ack_o       => uart1_ack,     -- transfer acknowledge
988 50 zero_gravi
      -- clock generator --
989 51 zero_gravi
      clkgen_en_o => uart1_cg_en,   -- enable clock generator
990 50 zero_gravi
      clkgen_i    => clk_gen,
991
      -- com lines --
992
      uart_txd_o  => uart1_txd_o,
993
      uart_rxd_i  => uart1_rxd_i,
994 51 zero_gravi
      -- hardware flow control --
995
      uart_rts_o  => uart1_rts_o,   -- UART.RX ready to receive ("RTR"), low-active, optional
996
      uart_cts_i  => uart1_cts_i,   -- UART.TX allowed to transmit, low-active, optional
997 50 zero_gravi
      -- interrupts --
998
      irq_rxd_o   => uart1_rxd_irq, -- uart data received interrupt
999
      irq_txd_o   => uart1_txd_irq  -- uart transmission done interrupt
1000
    );
1001
  end generate;
1002
 
1003
  neorv32_uart1_inst_false:
1004
  if (IO_UART1_EN = false) generate
1005
    uart1_rdata   <= (others => '0');
1006
    uart1_ack     <= '0';
1007
    uart1_txd_o   <= '0';
1008 51 zero_gravi
    uart1_rts_o   <= '0';
1009 50 zero_gravi
    uart1_cg_en   <= '0';
1010
    uart1_rxd_irq <= '0';
1011
    uart1_txd_irq <= '0';
1012
  end generate;
1013
 
1014
 
1015 2 zero_gravi
  -- Serial Peripheral Interface (SPI) ------------------------------------------------------
1016
  -- -------------------------------------------------------------------------------------------
1017
  neorv32_spi_inst_true:
1018 44 zero_gravi
  if (IO_SPI_EN = true) generate
1019 2 zero_gravi
    neorv32_spi_inst: neorv32_spi
1020
    port map (
1021
      -- host access --
1022 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
1023
      addr_i      => p_bus.addr,  -- address
1024
      rden_i      => io_rden,     -- read enable
1025
      wren_i      => io_wren,     -- write enable
1026
      data_i      => p_bus.wdata, -- data in
1027
      data_o      => spi_rdata,   -- data out
1028
      ack_o       => spi_ack,     -- transfer acknowledge
1029 2 zero_gravi
      -- clock generator --
1030 12 zero_gravi
      clkgen_en_o => spi_cg_en,   -- enable clock generator
1031 2 zero_gravi
      clkgen_i    => clk_gen,
1032
      -- com lines --
1033 12 zero_gravi
      spi_sck_o   => spi_sck_o,   -- SPI serial clock
1034
      spi_sdo_o   => spi_sdo_o,   -- controller data out, peripheral data in
1035
      spi_sdi_i   => spi_sdi_i,   -- controller data in, peripheral data out
1036
      spi_csn_o   => spi_csn_o,   -- SPI CS
1037 2 zero_gravi
      -- interrupt --
1038 48 zero_gravi
      irq_o       => spi_irq      -- transmission done interrupt
1039 2 zero_gravi
    );
1040
  end generate;
1041
 
1042
  neorv32_spi_inst_false:
1043 44 zero_gravi
  if (IO_SPI_EN = false) generate
1044 2 zero_gravi
    spi_rdata  <= (others => '0');
1045
    spi_ack    <= '0';
1046 6 zero_gravi
    spi_sck_o  <= '0';
1047
    spi_sdo_o  <= '0';
1048 2 zero_gravi
    spi_csn_o  <= (others => '1'); -- CSn lines are low-active
1049
    spi_cg_en  <= '0';
1050
    spi_irq    <= '0';
1051
  end generate;
1052
 
1053
 
1054
  -- Two-Wire Interface (TWI) ---------------------------------------------------------------
1055
  -- -------------------------------------------------------------------------------------------
1056
  neorv32_twi_inst_true:
1057 44 zero_gravi
  if (IO_TWI_EN = true) generate
1058 2 zero_gravi
    neorv32_twi_inst: neorv32_twi
1059
    port map (
1060
      -- host access --
1061 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
1062
      addr_i      => p_bus.addr,  -- address
1063
      rden_i      => io_rden,     -- read enable
1064
      wren_i      => io_wren,     -- write enable
1065
      data_i      => p_bus.wdata, -- data in
1066
      data_o      => twi_rdata,   -- data out
1067
      ack_o       => twi_ack,     -- transfer acknowledge
1068 2 zero_gravi
      -- clock generator --
1069 12 zero_gravi
      clkgen_en_o => twi_cg_en,   -- enable clock generator
1070 2 zero_gravi
      clkgen_i    => clk_gen,
1071
      -- com lines --
1072 12 zero_gravi
      twi_sda_io  => twi_sda_io,  -- serial data line
1073
      twi_scl_io  => twi_scl_io,  -- serial clock line
1074 2 zero_gravi
      -- interrupt --
1075 48 zero_gravi
      irq_o       => twi_irq      -- transfer done IRQ
1076 2 zero_gravi
    );
1077
  end generate;
1078
 
1079
  neorv32_twi_inst_false:
1080 44 zero_gravi
  if (IO_TWI_EN = false) generate
1081 2 zero_gravi
    twi_rdata  <= (others => '0');
1082
    twi_ack    <= '0';
1083 51 zero_gravi
--  twi_sda_io <= 'Z'; -- FIXME?
1084
--  twi_scl_io <= 'Z'; -- FIXME?
1085 2 zero_gravi
    twi_cg_en  <= '0';
1086
    twi_irq    <= '0';
1087
  end generate;
1088
 
1089
 
1090
  -- Pulse-Width Modulation Controller (PWM) ------------------------------------------------
1091
  -- -------------------------------------------------------------------------------------------
1092
  neorv32_pwm_inst_true:
1093 44 zero_gravi
  if (IO_PWM_EN = true) generate
1094 2 zero_gravi
    neorv32_pwm_inst: neorv32_pwm
1095
    port map (
1096
      -- host access --
1097 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
1098
      addr_i      => p_bus.addr,  -- address
1099
      rden_i      => io_rden,     -- read enable
1100
      wren_i      => io_wren,     -- write enable
1101
      data_i      => p_bus.wdata, -- data in
1102
      data_o      => pwm_rdata,   -- data out
1103
      ack_o       => pwm_ack,     -- transfer acknowledge
1104 2 zero_gravi
      -- clock generator --
1105 12 zero_gravi
      clkgen_en_o => pwm_cg_en,   -- enable clock generator
1106 2 zero_gravi
      clkgen_i    => clk_gen,
1107
      -- pwm output channels --
1108
      pwm_o       => pwm_o
1109
    );
1110
  end generate;
1111
 
1112
  neorv32_pwm_inst_false:
1113 44 zero_gravi
  if (IO_PWM_EN = false) generate
1114 2 zero_gravi
    pwm_rdata <= (others => '0');
1115
    pwm_ack   <= '0';
1116
    pwm_cg_en <= '0';
1117
    pwm_o     <= (others => '0');
1118
  end generate;
1119
 
1120
 
1121 49 zero_gravi
  -- Numerically-Controlled Oscillator (NCO) ------------------------------------------------
1122
  -- -------------------------------------------------------------------------------------------
1123
  neorv32_nco_inst_true:
1124
  if (IO_NCO_EN = true) generate
1125
    neorv32_nco_inst: neorv32_nco
1126
    port map (
1127
      -- host access --
1128
      clk_i       => clk_i,       -- global clock line
1129
      addr_i      => p_bus.addr,  -- address
1130
      rden_i      => io_rden,     -- read enable
1131
      wren_i      => io_wren,     -- write enable
1132
      data_i      => p_bus.wdata, -- data in
1133
      data_o      => nco_rdata,   -- data out
1134
      ack_o       => nco_ack,     -- transfer acknowledge
1135
      -- clock generator --
1136
      clkgen_en_o => nco_cg_en,   -- enable clock generator
1137
      clkgen_i    => clk_gen,
1138
      -- NCO output --
1139
      nco_o       => nco_o
1140
    );
1141
  end generate;
1142
 
1143
  neorv32_nco_inst_false:
1144
  if (IO_NCO_EN = false) generate
1145
    nco_rdata <= (others => '0');
1146
    nco_ack   <= '0';
1147
    nco_cg_en <= '0';
1148
    nco_o     <= (others => '0');
1149
  end generate;
1150
 
1151
 
1152 2 zero_gravi
  -- True Random Number Generator (TRNG) ----------------------------------------------------
1153
  -- -------------------------------------------------------------------------------------------
1154
  neorv32_trng_inst_true:
1155 44 zero_gravi
  if (IO_TRNG_EN = true) generate
1156 2 zero_gravi
    neorv32_trng_inst: neorv32_trng
1157
    port map (
1158
      -- host access --
1159 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
1160
      addr_i => p_bus.addr,  -- address
1161
      rden_i => io_rden,     -- read enable
1162
      wren_i => io_wren,     -- write enable
1163
      data_i => p_bus.wdata, -- data in
1164
      data_o => trng_rdata,  -- data out
1165
      ack_o  => trng_ack     -- transfer acknowledge
1166 2 zero_gravi
    );
1167
  end generate;
1168
 
1169
  neorv32_trng_inst_false:
1170 44 zero_gravi
  if (IO_TRNG_EN = false) generate
1171 2 zero_gravi
    trng_rdata <= (others => '0');
1172
    trng_ack   <= '0';
1173
  end generate;
1174
 
1175
 
1176 52 zero_gravi
  -- Smart LED (WS2811/WS2812) Interface (NEOLED) -------------------------------------------
1177
  -- -------------------------------------------------------------------------------------------
1178
  neorv32_neoled_inst_true:
1179
  if (IO_NEOLED_EN = true) generate
1180
    neorv32_neoled_inst: neorv32_neoled
1181
    port map (
1182
      -- host access --
1183
      clk_i       => clk_i,        -- global clock line
1184
      addr_i      => p_bus.addr,   -- address
1185
      rden_i      => io_rden,      -- read enable
1186
      wren_i      => io_wren,      -- write enable
1187
      data_i      => p_bus.wdata,  -- data in
1188
      data_o      => neoled_rdata, -- data out
1189
      ack_o       => neoled_ack,   -- transfer acknowledge
1190
      -- clock generator --
1191
      clkgen_en_o => neoled_cg_en, -- enable clock generator
1192
      clkgen_i    => clk_gen,
1193
      -- interrupt --
1194
      irq_o       => neoled_irq,   -- interrupt request
1195
      -- NEOLED output --
1196
      neoled_o    => neoled_o      -- serial async data line
1197
    );
1198
  end generate;
1199
 
1200
  neorv32_neoled_inst_false:
1201
  if (IO_NEOLED_EN = false) generate
1202
    neoled_rdata <= (others => '0');
1203
    neoled_ack   <= '0';
1204
    neoled_cg_en <= '0';
1205
    neoled_irq   <= '0';
1206
    neoled_o     <= '0';
1207
  end generate;
1208
 
1209
 
1210 12 zero_gravi
  -- System Configuration Information Memory (SYSINFO) --------------------------------------
1211
  -- -------------------------------------------------------------------------------------------
1212
  neorv32_sysinfo_inst: neorv32_sysinfo
1213
  generic map (
1214
    -- General --
1215 45 zero_gravi
    CLOCK_FREQUENCY      => CLOCK_FREQUENCY,      -- clock frequency of clk_i in Hz
1216
    BOOTLOADER_EN        => BOOTLOADER_EN,        -- implement processor-internal bootloader?
1217
    USER_CODE            => USER_CODE,            -- custom user code
1218 23 zero_gravi
    -- internal Instruction memory --
1219 45 zero_gravi
    MEM_INT_IMEM_EN      => MEM_INT_IMEM_EN,      -- implement processor-internal instruction memory
1220
    MEM_INT_IMEM_SIZE    => MEM_INT_IMEM_SIZE,    -- size of processor-internal instruction memory in bytes
1221
    MEM_INT_IMEM_ROM     => MEM_INT_IMEM_ROM,     -- implement processor-internal instruction memory as ROM
1222 23 zero_gravi
    -- Internal Data memory --
1223 45 zero_gravi
    MEM_INT_DMEM_EN      => MEM_INT_DMEM_EN,      -- implement processor-internal data memory
1224
    MEM_INT_DMEM_SIZE    => MEM_INT_DMEM_SIZE,    -- size of processor-internal data memory in bytes
1225 41 zero_gravi
    -- Internal Cache memory --
1226 45 zero_gravi
    ICACHE_EN            => ICACHE_EN,            -- implement instruction cache
1227
    ICACHE_NUM_BLOCKS    => ICACHE_NUM_BLOCKS,    -- i-cache: number of blocks (min 2), has to be a power of 2
1228
    ICACHE_BLOCK_SIZE    => ICACHE_BLOCK_SIZE,    -- i-cache: block size in bytes (min 4), has to be a power of 2
1229
    ICACHE_ASSOCIATIVITY => ICACHE_ASSOCIATIVITY, -- i-cache: associativity (min 1), has to be a power 2
1230 23 zero_gravi
    -- External memory interface --
1231 45 zero_gravi
    MEM_EXT_EN           => MEM_EXT_EN,           -- implement external memory bus interface?
1232 12 zero_gravi
    -- Processor peripherals --
1233 45 zero_gravi
    IO_GPIO_EN           => IO_GPIO_EN,           -- implement general purpose input/output port unit (GPIO)?
1234
    IO_MTIME_EN          => IO_MTIME_EN,          -- implement machine system timer (MTIME)?
1235 50 zero_gravi
    IO_UART0_EN          => IO_UART0_EN,          -- implement primary universal asynchronous receiver/transmitter (UART0)?
1236
    IO_UART1_EN          => IO_UART1_EN,          -- implement secondary universal asynchronous receiver/transmitter (UART1)?
1237 45 zero_gravi
    IO_SPI_EN            => IO_SPI_EN,            -- implement serial peripheral interface (SPI)?
1238
    IO_TWI_EN            => IO_TWI_EN,            -- implement two-wire interface (TWI)?
1239
    IO_PWM_EN            => IO_PWM_EN,            -- implement pulse-width modulation unit (PWM)?
1240
    IO_WDT_EN            => IO_WDT_EN,            -- implement watch dog timer (WDT)?
1241
    IO_TRNG_EN           => IO_TRNG_EN,           -- implement true random number generator (TRNG)?
1242 49 zero_gravi
    IO_CFS_EN            => IO_CFS_EN,            -- implement custom functions subsystem (CFS)?
1243 52 zero_gravi
    IO_NCO_EN            => IO_NCO_EN,            -- implement numerically-controlled oscillator (NCO)?
1244
    IO_NEOLED_EN         => IO_NEOLED_EN          -- implement NeoPixel-compatible smart LED interface (NEOLED)?
1245 12 zero_gravi
  )
1246
  port map (
1247
    -- host access --
1248
    clk_i  => clk_i,         -- global clock line
1249
    addr_i => p_bus.addr,    -- address
1250
    rden_i => io_rden,       -- read enable
1251
    data_o => sysinfo_rdata, -- data out
1252
    ack_o  => sysinfo_ack    -- transfer acknowledge
1253
  );
1254
 
1255
 
1256 2 zero_gravi
end neorv32_top_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.