OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_top.vhd] - Blame information for rev 57

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Processor Top Entity >>                                                          #
3
-- # ********************************************************************************************* #
4 18 zero_gravi
-- # This is the top entity of the NEORV32 PROCESSOR. Instantiate this unit in your own project    #
5 2 zero_gravi
-- # and define all the configuration generics according to your needs. Alternatively, you can use #
6 18 zero_gravi
-- # one of the alternative top entities provided in the "rtl/top_templates" folder.               #
7
-- #                                                                                               #
8 55 zero_gravi
-- # Check out the processor's data sheet for more information: docs/NEORV32.pdf                   #
9 2 zero_gravi
-- # ********************************************************************************************* #
10
-- # BSD 3-Clause License                                                                          #
11
-- #                                                                                               #
12 42 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
13 2 zero_gravi
-- #                                                                                               #
14
-- # Redistribution and use in source and binary forms, with or without modification, are          #
15
-- # permitted provided that the following conditions are met:                                     #
16
-- #                                                                                               #
17
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
18
-- #    conditions and the following disclaimer.                                                   #
19
-- #                                                                                               #
20
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
21
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
22
-- #    provided with the distribution.                                                            #
23
-- #                                                                                               #
24
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
25
-- #    endorse or promote products derived from this software without specific prior written      #
26
-- #    permission.                                                                                #
27
-- #                                                                                               #
28
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
29
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
30
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
31
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
32
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
33
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
34
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
35
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
36
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
37
-- # ********************************************************************************************* #
38
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
39
-- #################################################################################################
40
 
41
library ieee;
42
use ieee.std_logic_1164.all;
43
use ieee.numeric_std.all;
44
 
45
library neorv32;
46
use neorv32.neorv32_package.all;
47
 
48
entity neorv32_top is
49
  generic (
50
    -- General --
51 12 zero_gravi
    CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
52 44 zero_gravi
    BOOTLOADER_EN                : boolean := true;   -- implement processor-internal bootloader?
53 12 zero_gravi
    USER_CODE                    : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
54 49 zero_gravi
    HW_THREAD_ID                 : natural := 0;      -- hardware thread id (32-bit)
55 50 zero_gravi
 
56 2 zero_gravi
    -- RISC-V CPU Extensions --
57 39 zero_gravi
    CPU_EXTENSION_RISCV_A        : boolean := false;  -- implement atomic extension?
58 44 zero_gravi
    CPU_EXTENSION_RISCV_B        : boolean := false;  -- implement bit manipulation extensions?
59 11 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
60 8 zero_gravi
    CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
61 11 zero_gravi
    CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement muld/div extension?
62 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
63 57 zero_gravi
    CPU_EXTENSION_RISCV_Zfinx    : boolean := false;  -- implement 32-bit floating-point extension (using INT regs!)
64 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
65 39 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei : boolean := false;  -- implement instruction stream sync.?
66 50 zero_gravi
 
67 19 zero_gravi
    -- Extension Options --
68 23 zero_gravi
    FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
69 39 zero_gravi
    FAST_SHIFT_EN                : boolean := false;  -- use barrel shifter for shift operations
70 56 zero_gravi
    TINY_SHIFT_EN                : boolean := false;  -- use tiny (single-bit) shifter for shift operations
71
    CPU_CNT_WIDTH                : natural := 64;     -- total width of CPU cycle and instret counters (0..64)
72 50 zero_gravi
 
73 15 zero_gravi
    -- Physical Memory Protection (PMP) --
74 42 zero_gravi
    PMP_NUM_REGIONS              : natural := 0;      -- number of regions (0..64)
75
    PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
76 50 zero_gravi
 
77 42 zero_gravi
    -- Hardware Performance Monitors (HPM) --
78 47 zero_gravi
    HPM_NUM_CNTS                 : natural := 0;      -- number of implemented HPM counters (0..29)
79 56 zero_gravi
    HPM_CNT_WIDTH                : natural := 40;     -- total size of HPM counters (1..64)
80 50 zero_gravi
 
81 23 zero_gravi
    -- Internal Instruction memory --
82 44 zero_gravi
    MEM_INT_IMEM_EN              : boolean := true;   -- implement processor-internal instruction memory
83 8 zero_gravi
    MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
84
    MEM_INT_IMEM_ROM             : boolean := false;  -- implement processor-internal instruction memory as ROM
85 50 zero_gravi
 
86 23 zero_gravi
    -- Internal Data memory --
87 44 zero_gravi
    MEM_INT_DMEM_EN              : boolean := true;   -- implement processor-internal data memory
88 8 zero_gravi
    MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
89 50 zero_gravi
 
90 41 zero_gravi
    -- Internal Cache memory --
91 44 zero_gravi
    ICACHE_EN                    : boolean := false;  -- implement instruction cache
92 41 zero_gravi
    ICACHE_NUM_BLOCKS            : natural := 4;      -- i-cache: number of blocks (min 1), has to be a power of 2
93
    ICACHE_BLOCK_SIZE            : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
94 45 zero_gravi
    ICACHE_ASSOCIATIVITY         : natural := 1;      -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
95 50 zero_gravi
 
96 23 zero_gravi
    -- External memory interface --
97 44 zero_gravi
    MEM_EXT_EN                   : boolean := false;  -- implement external memory bus interface?
98 57 zero_gravi
    MEM_EXT_TIMEOUT              : natural := 255;    -- cycles after a pending bus access auto-terminates (0 = disabled)
99 50 zero_gravi
 
100 2 zero_gravi
    -- Processor peripherals --
101 44 zero_gravi
    IO_GPIO_EN                   : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
102
    IO_MTIME_EN                  : boolean := true;   -- implement machine system timer (MTIME)?
103 50 zero_gravi
    IO_UART0_EN                  : boolean := true;   -- implement primary universal asynchronous receiver/transmitter (UART0)?
104
    IO_UART1_EN                  : boolean := true;   -- implement secondary universal asynchronous receiver/transmitter (UART1)?
105 44 zero_gravi
    IO_SPI_EN                    : boolean := true;   -- implement serial peripheral interface (SPI)?
106
    IO_TWI_EN                    : boolean := true;   -- implement two-wire interface (TWI)?
107
    IO_PWM_EN                    : boolean := true;   -- implement pulse-width modulation unit (PWM)?
108
    IO_WDT_EN                    : boolean := true;   -- implement watch dog timer (WDT)?
109
    IO_TRNG_EN                   : boolean := false;  -- implement true random number generator (TRNG)?
110 47 zero_gravi
    IO_CFS_EN                    : boolean := false;  -- implement custom functions subsystem (CFS)?
111 56 zero_gravi
    IO_CFS_CONFIG                : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom CFS configuration generic
112 52 zero_gravi
    IO_CFS_IN_SIZE               : positive := 32;    -- size of CFS input conduit in bits
113
    IO_CFS_OUT_SIZE              : positive := 32;    -- size of CFS output conduit in bits
114
    IO_NCO_EN                    : boolean := true;   -- implement numerically-controlled oscillator (NCO)?
115
    IO_NEOLED_EN                 : boolean := true    -- implement NeoPixel-compatible smart LED interface (NEOLED)?
116 2 zero_gravi
  );
117
  port (
118
    -- Global control --
119 34 zero_gravi
    clk_i       : in  std_ulogic := '0'; -- global clock, rising edge
120
    rstn_i      : in  std_ulogic := '0'; -- global reset, low-active, async
121 50 zero_gravi
 
122 44 zero_gravi
    -- Wishbone bus interface (available if MEM_EXT_EN = true) --
123 57 zero_gravi
    wb_tag_o    : out std_ulogic_vector(02 downto 0); -- request tag
124 34 zero_gravi
    wb_adr_o    : out std_ulogic_vector(31 downto 0); -- address
125
    wb_dat_i    : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- read data
126
    wb_dat_o    : out std_ulogic_vector(31 downto 0); -- write data
127
    wb_we_o     : out std_ulogic; -- read/write
128
    wb_sel_o    : out std_ulogic_vector(03 downto 0); -- byte enable
129
    wb_stb_o    : out std_ulogic; -- strobe
130
    wb_cyc_o    : out std_ulogic; -- valid cycle
131 57 zero_gravi
    wb_lock_o   : out std_ulogic; -- exclusive access request
132 34 zero_gravi
    wb_ack_i    : in  std_ulogic := '0'; -- transfer acknowledge
133
    wb_err_i    : in  std_ulogic := '0'; -- transfer error
134 50 zero_gravi
 
135 44 zero_gravi
    -- Advanced memory control signals (available if MEM_EXT_EN = true) --
136 34 zero_gravi
    fence_o     : out std_ulogic; -- indicates an executed FENCE operation
137
    fencei_o    : out std_ulogic; -- indicates an executed FENCEI operation
138 50 zero_gravi
 
139 44 zero_gravi
    -- GPIO (available if IO_GPIO_EN = true) --
140 34 zero_gravi
    gpio_o      : out std_ulogic_vector(31 downto 0); -- parallel output
141
    gpio_i      : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- parallel input
142 50 zero_gravi
 
143
    -- primary UART0 (available if IO_UART0_EN = true) --
144
    uart0_txd_o : out std_ulogic; -- UART0 send data
145
    uart0_rxd_i : in  std_ulogic := '0'; -- UART0 receive data
146 51 zero_gravi
    uart0_rts_o : out std_ulogic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
147
    uart0_cts_i : in  std_ulogic := '0'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional
148 50 zero_gravi
 
149
    -- secondary UART1 (available if IO_UART1_EN = true) --
150
    uart1_txd_o : out std_ulogic; -- UART1 send data
151
    uart1_rxd_i : in  std_ulogic := '0'; -- UART1 receive data
152 51 zero_gravi
    uart1_rts_o : out std_ulogic; -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
153
    uart1_cts_i : in  std_ulogic := '0'; -- hw flow control: UART1.TX allowed to transmit, low-active, optional
154 50 zero_gravi
 
155 44 zero_gravi
    -- SPI (available if IO_SPI_EN = true) --
156 34 zero_gravi
    spi_sck_o   : out std_ulogic; -- SPI serial clock
157
    spi_sdo_o   : out std_ulogic; -- controller data out, peripheral data in
158
    spi_sdi_i   : in  std_ulogic := '0'; -- controller data in, peripheral data out
159 50 zero_gravi
    spi_csn_o   : out std_ulogic_vector(07 downto 0); -- chip-select
160
 
161 44 zero_gravi
    -- TWI (available if IO_TWI_EN = true) --
162 35 zero_gravi
    twi_sda_io  : inout std_logic; -- twi serial data line
163
    twi_scl_io  : inout std_logic; -- twi serial clock line
164 50 zero_gravi
 
165 44 zero_gravi
    -- PWM (available if IO_PWM_EN = true) --
166 34 zero_gravi
    pwm_o       : out std_ulogic_vector(03 downto 0); -- pwm channels
167 50 zero_gravi
 
168 47 zero_gravi
    -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) --
169 52 zero_gravi
    cfs_in_i    : in  std_ulogic_vector(IO_CFS_IN_SIZE-1  downto 0); -- custom CFS inputs conduit
170
    cfs_out_o   : out std_ulogic_vector(IO_CFS_OUT_SIZE-1 downto 0); -- custom CFS outputs conduit
171 50 zero_gravi
 
172 49 zero_gravi
    -- NCO output (available if IO_NCO_EN = true) --
173
    nco_o       : out std_ulogic_vector(02 downto 0); -- numerically-controlled oscillator channels
174 50 zero_gravi
 
175 52 zero_gravi
    -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
176
    neoled_o    : out std_ulogic; -- async serial data line
177
 
178 44 zero_gravi
    -- system time input from external MTIME (available if IO_MTIME_EN = false) --
179 40 zero_gravi
    mtime_i     : in  std_ulogic_vector(63 downto 0) := (others => '0'); -- current system time
180 50 zero_gravi
 
181 14 zero_gravi
    -- Interrupts --
182 50 zero_gravi
    soc_firq_i  : in  std_ulogic_vector(5 downto 0) := (others => '0'); -- fast interrupt channels
183 44 zero_gravi
    mtime_irq_i : in  std_ulogic := '0'; -- machine timer interrupt, available if IO_MTIME_EN = false
184 34 zero_gravi
    msw_irq_i   : in  std_ulogic := '0'; -- machine software interrupt
185
    mext_irq_i  : in  std_ulogic := '0'  -- machine external interrupt
186 2 zero_gravi
  );
187
end neorv32_top;
188
 
189
architecture neorv32_top_rtl of neorv32_top is
190
 
191 12 zero_gravi
  -- CPU boot address --
192 44 zero_gravi
  constant cpu_boot_addr_c : std_ulogic_vector(31 downto 0) := cond_sel_stdulogicvector_f(BOOTLOADER_EN, boot_rom_base_c, ispace_base_c);
193 12 zero_gravi
 
194 29 zero_gravi
  -- alignment check for internal memories --
195
  constant imem_align_check_c : std_ulogic_vector(index_size_f(MEM_INT_IMEM_SIZE)-1 downto 0) := (others => '0');
196
  constant dmem_align_check_c : std_ulogic_vector(index_size_f(MEM_INT_DMEM_SIZE)-1 downto 0) := (others => '0');
197
 
198 2 zero_gravi
  -- reset generator --
199
  signal rstn_i_sync0 : std_ulogic;
200
  signal rstn_i_sync1 : std_ulogic;
201
  signal rstn_i_sync2 : std_ulogic;
202
  signal rstn_gen     : std_ulogic_vector(3 downto 0);
203
  signal ext_rstn     : std_ulogic;
204
  signal sys_rstn     : std_ulogic;
205
  signal wdt_rstn     : std_ulogic;
206
 
207
  -- clock generator --
208
  signal clk_div    : std_ulogic_vector(11 downto 0);
209
  signal clk_div_ff : std_ulogic_vector(11 downto 0);
210
  signal clk_gen    : std_ulogic_vector(07 downto 0);
211 52 zero_gravi
  signal clk_gen_en : std_ulogic_vector(08 downto 0);
212 47 zero_gravi
  --
213 52 zero_gravi
  signal wdt_cg_en    : std_ulogic;
214
  signal uart0_cg_en  : std_ulogic;
215
  signal uart1_cg_en  : std_ulogic;
216
  signal spi_cg_en    : std_ulogic;
217
  signal twi_cg_en    : std_ulogic;
218
  signal pwm_cg_en    : std_ulogic;
219
  signal cfs_cg_en    : std_ulogic;
220
  signal nco_cg_en    : std_ulogic;
221
  signal neoled_cg_en : std_ulogic;
222 2 zero_gravi
 
223 12 zero_gravi
  -- bus interface --
224
  type bus_interface_t is record
225 11 zero_gravi
    addr   : std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
226
    rdata  : std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
227
    wdata  : std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
228
    ben    : std_ulogic_vector(03 downto 0); -- byte enable
229
    we     : std_ulogic; -- write enable
230
    re     : std_ulogic; -- read enable
231
    ack    : std_ulogic; -- bus transfer acknowledge
232
    err    : std_ulogic; -- bus transfer error
233 12 zero_gravi
    fence  : std_ulogic; -- fence(i) instruction executed
234 35 zero_gravi
    priv   : std_ulogic_vector(1 downto 0); -- current privilege level
235 40 zero_gravi
    src    : std_ulogic; -- access source (1=instruction fetch, 0=data access)
236 57 zero_gravi
    lock   : std_ulogic; -- exclusive access request
237 11 zero_gravi
  end record;
238 41 zero_gravi
  signal cpu_i, i_cache, cpu_d, p_bus : bus_interface_t;
239 2 zero_gravi
 
240
  -- io space access --
241
  signal io_acc  : std_ulogic;
242
  signal io_rden : std_ulogic;
243
  signal io_wren : std_ulogic;
244
 
245
  -- read-back busses -
246
  signal imem_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
247
  signal imem_ack       : std_ulogic;
248
  signal dmem_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
249
  signal dmem_ack       : std_ulogic;
250
  signal bootrom_rdata  : std_ulogic_vector(data_width_c-1 downto 0);
251
  signal bootrom_ack    : std_ulogic;
252
  signal wishbone_rdata : std_ulogic_vector(data_width_c-1 downto 0);
253
  signal wishbone_ack   : std_ulogic;
254
  signal wishbone_err   : std_ulogic;
255
  signal gpio_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
256
  signal gpio_ack       : std_ulogic;
257
  signal mtime_rdata    : std_ulogic_vector(data_width_c-1 downto 0);
258
  signal mtime_ack      : std_ulogic;
259 50 zero_gravi
  signal uart0_rdata    : std_ulogic_vector(data_width_c-1 downto 0);
260
  signal uart0_ack      : std_ulogic;
261
  signal uart1_rdata    : std_ulogic_vector(data_width_c-1 downto 0);
262
  signal uart1_ack      : std_ulogic;
263 2 zero_gravi
  signal spi_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
264
  signal spi_ack        : std_ulogic;
265
  signal twi_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
266
  signal twi_ack        : std_ulogic;
267
  signal pwm_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
268
  signal pwm_ack        : std_ulogic;
269
  signal wdt_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
270
  signal wdt_ack        : std_ulogic;
271
  signal trng_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
272
  signal trng_ack       : std_ulogic;
273 47 zero_gravi
  signal cfs_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
274
  signal cfs_ack        : std_ulogic;
275 49 zero_gravi
  signal nco_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
276
  signal nco_ack        : std_ulogic;
277 52 zero_gravi
  signal neoled_rdata   : std_ulogic_vector(data_width_c-1 downto 0);
278
  signal neoled_ack     : std_ulogic;
279 12 zero_gravi
  signal sysinfo_rdata  : std_ulogic_vector(data_width_c-1 downto 0);
280
  signal sysinfo_ack    : std_ulogic;
281 57 zero_gravi
  signal bus_keeper_err : std_ulogic;
282 2 zero_gravi
 
283
  -- IRQs --
284 48 zero_gravi
  signal mtime_irq    : std_ulogic;
285 47 zero_gravi
  --
286 48 zero_gravi
  signal fast_irq     : std_ulogic_vector(15 downto 0);
287
  signal fast_irq_ack : std_ulogic_vector(15 downto 0);
288
  --
289 50 zero_gravi
  signal gpio_irq      : std_ulogic;
290
  signal wdt_irq       : std_ulogic;
291
  signal uart0_rxd_irq : std_ulogic;
292
  signal uart0_txd_irq : std_ulogic;
293
  signal uart1_rxd_irq : std_ulogic;
294
  signal uart1_txd_irq : std_ulogic;
295
  signal spi_irq       : std_ulogic;
296
  signal twi_irq       : std_ulogic;
297
  signal cfs_irq       : std_ulogic;
298
  signal cfs_irq_ack   : std_ulogic;
299 52 zero_gravi
  signal neoled_irq    : std_ulogic;
300 2 zero_gravi
 
301 11 zero_gravi
  -- misc --
302
  signal mtime_time : std_ulogic_vector(63 downto 0); -- current system time from MTIME
303 47 zero_gravi
  signal cpu_sleep  : std_ulogic; -- CPU is in sleep mode when set
304 11 zero_gravi
 
305 2 zero_gravi
begin
306
 
307
  -- Sanity Checks --------------------------------------------------------------------------
308
  -- -------------------------------------------------------------------------------------------
309 36 zero_gravi
  -- clock --
310
  assert not (CLOCK_FREQUENCY = 0) report "NEORV32 PROCESSOR CONFIG ERROR! Core clock frequency (CLOCK_FREQUENCY) not specified." severity error;
311 23 zero_gravi
  -- internal bootloader ROM --
312 44 zero_gravi
  assert not ((BOOTLOADER_EN = true) and (boot_rom_size_c > boot_rom_max_size_c)) report "NEORV32 PROCESSOR CONFIG ERROR! Boot ROM size out of range." severity error;
313
  assert not ((BOOTLOADER_EN = true) and (MEM_INT_IMEM_ROM = true)) report "NEORV32 PROCESSOR CONFIG WARNING! IMEM is configured as read-only. Bootloader will not be able to load new executables." severity warning;
314 23 zero_gravi
  -- memory system - data/instruction fetch --
315 44 zero_gravi
  assert not ((MEM_EXT_EN = false) and (MEM_INT_DMEM_EN = false)) report "NEORV32 PROCESSOR CONFIG ERROR! Core cannot fetch data without external memory interface and internal data memory." severity error;
316
  assert not ((MEM_EXT_EN = false) and (MEM_INT_IMEM_EN = false) and (BOOTLOADER_EN = false)) report "NEORV32 PROCESSOR CONFIG ERROR! Core cannot fetch instructions without external memory interface, internal data memory and bootloader." severity error;
317 36 zero_gravi
  -- memory system - size --
318 44 zero_gravi
  assert not ((MEM_INT_DMEM_EN = true) and (is_power_of_two_f(MEM_INT_IMEM_SIZE) = false)) report "NEORV32 PROCESSOR CONFIG WARNING! MEM_INT_IMEM_SIZE should be a power of 2 to allow optimal hardware mapping." severity warning;
319
  assert not ((MEM_INT_IMEM_EN = true) and (is_power_of_two_f(MEM_INT_DMEM_SIZE) = false)) report "NEORV32 PROCESSOR CONFIG WARNING! MEM_INT_DMEM_SIZE should be a power of 2 to allow optimal hardware mapping." severity warning;
320 29 zero_gravi
  -- memory system - alignment --
321
  assert not (ispace_base_c(1 downto 0) /= "00") report "NEORV32 PROCESSOR CONFIG ERROR! Instruction memory space base address must be 4-byte-aligned." severity error;
322
  assert not (dspace_base_c(1 downto 0) /= "00") report "NEORV32 PROCESSOR CONFIG ERROR! Data memory space base address must be 4-byte-aligned." severity error;
323 44 zero_gravi
  assert not ((ispace_base_c(index_size_f(MEM_INT_IMEM_SIZE)-1 downto 0) /= imem_align_check_c) and (MEM_INT_IMEM_EN = true)) report "NEORV32 PROCESSOR CONFIG ERROR! Instruction memory space base address has to be aligned to IMEM size." severity error;
324
  assert not ((dspace_base_c(index_size_f(MEM_INT_DMEM_SIZE)-1 downto 0) /= dmem_align_check_c) and (MEM_INT_DMEM_EN = true)) report "NEORV32 PROCESSOR CONFIG ERROR! Data memory space base address has to be aligned to DMEM size." severity error;
325 36 zero_gravi
  -- memory system - layout warning --
326 29 zero_gravi
  assert not (ispace_base_c /= x"00000000") report "NEORV32 PROCESSOR CONFIG WARNING! Non-default base address for instruction address space. Make sure this is sync with the software framework." severity warning;
327
  assert not (dspace_base_c /= x"80000000") report "NEORV32 PROCESSOR CONFIG WARNING! Non-default base address for data address space. Make sure this is sync with the software framework." severity warning;
328 41 zero_gravi
  -- memory system - the i-cache is intended to accelerate instruction fetch via the external memory interface only --
329 44 zero_gravi
  assert not ((ICACHE_EN = true) and (MEM_EXT_EN = false)) report "NEORV32 PROCESSOR CONFIG NOTE. Implementing i-cache without having the external memory interface implemented. The i-cache is intended to accelerate instruction fetch via the external memory interface." severity note;
330 2 zero_gravi
 
331
  -- Reset Generator ------------------------------------------------------------------------
332
  -- -------------------------------------------------------------------------------------------
333
  reset_generator_sync: process(clk_i)
334
  begin
335
    -- make sure the external reset is free of metastability and has a minimal duration of 1 clock cycle
336
    if rising_edge(clk_i) then
337
      rstn_i_sync0 <= rstn_i;
338
      rstn_i_sync1 <= rstn_i_sync0;
339
      rstn_i_sync2 <= rstn_i_sync1;
340
    end if;
341
  end process reset_generator_sync;
342
 
343
  -- keep internal reset active for at least 4 clock cycles
344
  reset_generator: process(rstn_i_sync1, rstn_i_sync2, clk_i)
345
  begin
346 23 zero_gravi
    if ((rstn_i_sync1 and rstn_i_sync2) = '0') then -- signal stable?
347 2 zero_gravi
      rstn_gen <= (others => '0');
348
    elsif rising_edge(clk_i) then
349
      rstn_gen <= rstn_gen(rstn_gen'left-1 downto 0) & '1';
350
    end if;
351
  end process reset_generator;
352
 
353
  ext_rstn <= rstn_gen(rstn_gen'left); -- the beautified external reset signal
354 23 zero_gravi
  sys_rstn <= ext_rstn and wdt_rstn;   -- system reset - can also be triggered by watchdog
355 2 zero_gravi
 
356
 
357
  -- Clock Generator ------------------------------------------------------------------------
358
  -- -------------------------------------------------------------------------------------------
359
  clock_generator: process(sys_rstn, clk_i)
360
  begin
361
    if (sys_rstn = '0') then
362
      clk_div    <= (others => '0');
363
      clk_div_ff <= (others => '0');
364 50 zero_gravi
      clk_gen_en <= (others => '0');
365 2 zero_gravi
    elsif rising_edge(clk_i) then
366 23 zero_gravi
      -- fresh clocks anyone? --
367 50 zero_gravi
      clk_gen_en(0) <= wdt_cg_en;
368
      clk_gen_en(1) <= uart0_cg_en;
369
      clk_gen_en(2) <= uart1_cg_en;
370
      clk_gen_en(3) <= spi_cg_en;
371
      clk_gen_en(4) <= twi_cg_en;
372
      clk_gen_en(5) <= pwm_cg_en;
373
      clk_gen_en(6) <= cfs_cg_en;
374
      clk_gen_en(7) <= nco_cg_en;
375 52 zero_gravi
      clk_gen_en(8) <= neoled_cg_en;
376 50 zero_gravi
      if (or_all_f(clk_gen_en) = '1') then
377 23 zero_gravi
        clk_div <= std_ulogic_vector(unsigned(clk_div) + 1);
378 2 zero_gravi
      end if;
379 23 zero_gravi
      clk_div_ff <= clk_div;
380 2 zero_gravi
    end if;
381
  end process clock_generator;
382
 
383 23 zero_gravi
  -- clock enables: rising edge detectors --
384
  clock_generator_edge: process(clk_i)
385
  begin
386
    if rising_edge(clk_i) then
387
      clk_gen(clk_div2_c)    <= clk_div(0)  and (not clk_div_ff(0));  -- CLK/2
388
      clk_gen(clk_div4_c)    <= clk_div(1)  and (not clk_div_ff(1));  -- CLK/4
389
      clk_gen(clk_div8_c)    <= clk_div(2)  and (not clk_div_ff(2));  -- CLK/8
390
      clk_gen(clk_div64_c)   <= clk_div(5)  and (not clk_div_ff(5));  -- CLK/64
391
      clk_gen(clk_div128_c)  <= clk_div(6)  and (not clk_div_ff(6));  -- CLK/128
392
      clk_gen(clk_div1024_c) <= clk_div(9)  and (not clk_div_ff(9));  -- CLK/1024
393
      clk_gen(clk_div2048_c) <= clk_div(10) and (not clk_div_ff(10)); -- CLK/2048
394
      clk_gen(clk_div4096_c) <= clk_div(11) and (not clk_div_ff(11)); -- CLK/4096
395
    end if;
396
  end process clock_generator_edge;
397 2 zero_gravi
 
398
 
399 45 zero_gravi
  -- CPU Core -------------------------------------------------------------------------------
400 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
401
  neorv32_cpu_inst: neorv32_cpu
402
  generic map (
403
    -- General --
404 41 zero_gravi
    HW_THREAD_ID                 => HW_THREAD_ID,        -- hardware thread id
405
    CPU_BOOT_ADDR                => cpu_boot_addr_c,     -- cpu boot address
406 2 zero_gravi
    -- RISC-V CPU Extensions --
407 39 zero_gravi
    CPU_EXTENSION_RISCV_A        => CPU_EXTENSION_RISCV_A,        -- implement atomic extension?
408 44 zero_gravi
    CPU_EXTENSION_RISCV_B        => CPU_EXTENSION_RISCV_B,        -- implement bit manipulation extensions?
409 8 zero_gravi
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,        -- implement compressed extension?
410
    CPU_EXTENSION_RISCV_E        => CPU_EXTENSION_RISCV_E,        -- implement embedded RF extension?
411
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,        -- implement muld/div extension?
412 15 zero_gravi
    CPU_EXTENSION_RISCV_U        => CPU_EXTENSION_RISCV_U,        -- implement user mode extension?
413 55 zero_gravi
    CPU_EXTENSION_RISCV_Zfinx    => CPU_EXTENSION_RISCV_Zfinx,    -- implement 32-bit floating-point extension (using INT reg!)
414 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
415
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
416 19 zero_gravi
    -- Extension Options --
417 41 zero_gravi
    FAST_MUL_EN                  => FAST_MUL_EN,         -- use DSPs for M extension's multiplier
418
    FAST_SHIFT_EN                => FAST_SHIFT_EN,       -- use barrel shifter for shift operations
419 56 zero_gravi
    CPU_CNT_WIDTH                => CPU_CNT_WIDTH,       -- total width of CPU cycle and instret counters (0..64)
420 15 zero_gravi
    -- Physical Memory Protection (PMP) --
421 42 zero_gravi
    PMP_NUM_REGIONS              => PMP_NUM_REGIONS,     -- number of regions (0..64)
422
    PMP_MIN_GRANULARITY          => PMP_MIN_GRANULARITY, -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
423
    -- Hardware Performance Monitors (HPM) --
424 56 zero_gravi
    HPM_NUM_CNTS                 => HPM_NUM_CNTS,        -- number of implemented HPM counters (0..29)
425
    HPM_CNT_WIDTH                => HPM_CNT_WIDTH        -- total size of HPM counters (1..64)
426 2 zero_gravi
  )
427
  port map (
428
    -- global control --
429 12 zero_gravi
    clk_i          => clk_i,        -- global clock, rising edge
430
    rstn_i         => sys_rstn,     -- global reset, low-active, async
431 47 zero_gravi
    sleep_o        => cpu_sleep,    -- cpu is in sleep mode when set
432 12 zero_gravi
    -- instruction bus interface --
433
    i_bus_addr_o   => cpu_i.addr,   -- bus access address
434
    i_bus_rdata_i  => cpu_i.rdata,  -- bus read data
435
    i_bus_wdata_o  => cpu_i.wdata,  -- bus write data
436
    i_bus_ben_o    => cpu_i.ben,    -- byte enable
437
    i_bus_we_o     => cpu_i.we,     -- write enable
438
    i_bus_re_o     => cpu_i.re,     -- read enable
439 57 zero_gravi
    i_bus_lock_o   => cpu_i.lock,   -- exclusive access request
440 12 zero_gravi
    i_bus_ack_i    => cpu_i.ack,    -- bus transfer acknowledge
441
    i_bus_err_i    => cpu_i.err,    -- bus transfer error
442
    i_bus_fence_o  => cpu_i.fence,  -- executed FENCEI operation
443 35 zero_gravi
    i_bus_priv_o   => cpu_i.priv,   -- privilege level
444 12 zero_gravi
    -- data bus interface --
445
    d_bus_addr_o   => cpu_d.addr,   -- bus access address
446
    d_bus_rdata_i  => cpu_d.rdata,  -- bus read data
447
    d_bus_wdata_o  => cpu_d.wdata,  -- bus write data
448
    d_bus_ben_o    => cpu_d.ben,    -- byte enable
449
    d_bus_we_o     => cpu_d.we,     -- write enable
450
    d_bus_re_o     => cpu_d.re,     -- read enable
451 57 zero_gravi
    d_bus_lock_o   => cpu_d.lock,   -- exclusive access request
452 12 zero_gravi
    d_bus_ack_i    => cpu_d.ack,    -- bus transfer acknowledge
453
    d_bus_err_i    => cpu_d.err,    -- bus transfer error
454
    d_bus_fence_o  => cpu_d.fence,  -- executed FENCE operation
455 35 zero_gravi
    d_bus_priv_o   => cpu_d.priv,   -- privilege level
456 11 zero_gravi
    -- system time input from MTIME --
457 12 zero_gravi
    time_i         => mtime_time,   -- current system time
458 14 zero_gravi
    -- interrupts (risc-v compliant) --
459
    msw_irq_i      => msw_irq_i,    -- machine software interrupt
460
    mext_irq_i     => mext_irq_i,   -- machine external interrupt request
461
    mtime_irq_i    => mtime_irq,    -- machine timer interrupt
462
    -- fast interrupts (custom) --
463 47 zero_gravi
    firq_i         => fast_irq,     -- fast interrupt trigger
464
    firq_ack_o     => fast_irq_ack  -- fast interrupt acknowledge mask
465 2 zero_gravi
  );
466
 
467 36 zero_gravi
  -- misc --
468 57 zero_gravi
  cpu_i.src <= '1'; -- initialized but unused
469
  cpu_d.src <= '0'; -- initialized but unused
470 36 zero_gravi
 
471 14 zero_gravi
  -- advanced memory control --
472
  fence_o  <= cpu_d.fence; -- indicates an executed FENCE operation
473
  fencei_o <= cpu_i.fence; -- indicates an executed FENCEI operation
474 2 zero_gravi
 
475 47 zero_gravi
  -- fast interrupts - processor-internal --
476 50 zero_gravi
  fast_irq(00) <= wdt_irq;       -- HIGHEST PRIORITY - watchdog timeout
477
  fast_irq(01) <= cfs_irq;       -- custom functions subsystem
478
  fast_irq(02) <= uart0_rxd_irq; -- primary UART (UART0) data received
479
  fast_irq(03) <= uart0_txd_irq; -- primary UART (UART0) sending done
480
  fast_irq(04) <= uart1_rxd_irq; -- secondary UART (UART1) data received
481
  fast_irq(05) <= uart1_txd_irq; -- secondary UART (UART1) sending done
482
  fast_irq(06) <= spi_irq;       -- SPI transmission done
483
  fast_irq(07) <= twi_irq;       -- TWI transmission done
484
  fast_irq(08) <= gpio_irq;      -- GPIO pin-change
485 52 zero_gravi
  fast_irq(09) <= neoled_irq;    -- NEOLED buffer free
486 14 zero_gravi
 
487 48 zero_gravi
  -- fast interrupts - platform level (for custom use) --
488 50 zero_gravi
  fast_irq(10) <= soc_firq_i(0);
489
  fast_irq(11) <= soc_firq_i(1);
490
  fast_irq(12) <= soc_firq_i(2);
491
  fast_irq(13) <= soc_firq_i(3);
492
  fast_irq(14) <= soc_firq_i(4);
493
  fast_irq(15) <= soc_firq_i(5);
494 14 zero_gravi
 
495 51 zero_gravi
  -- CFS IRQ acknowledge --
496
  cfs_irq_ack <= fast_irq_ack(1);
497 48 zero_gravi
 
498
 
499 41 zero_gravi
  -- CPU Instruction Cache ------------------------------------------------------------------
500
  -- -------------------------------------------------------------------------------------------
501
  neorv32_icache_inst_true:
502 44 zero_gravi
  if (ICACHE_EN = true) generate
503 45 zero_gravi
    neorv32_icache_inst: neorv32_icache
504 41 zero_gravi
    generic map (
505 47 zero_gravi
      ICACHE_NUM_BLOCKS => ICACHE_NUM_BLOCKS,   -- number of blocks (min 2), has to be a power of 2
506
      ICACHE_BLOCK_SIZE => ICACHE_BLOCK_SIZE,   -- block size in bytes (min 4), has to be a power of 2
507
      ICACHE_NUM_SETS   => ICACHE_ASSOCIATIVITY -- associativity / number of sets (1=direct_mapped), has to be a power of 2
508 41 zero_gravi
    )
509
    port map (
510
      -- global control --
511
      clk_i         => clk_i,          -- global clock, rising edge
512
      rstn_i        => sys_rstn,       -- global reset, low-active, async
513
      clear_i       => cpu_i.fence,    -- cache clear
514
      -- host controller interface --
515
      host_addr_i   => cpu_i.addr,     -- bus access address
516
      host_rdata_o  => cpu_i.rdata,    -- bus read data
517
      host_wdata_i  => cpu_i.wdata,    -- bus write data
518
      host_ben_i    => cpu_i.ben,      -- byte enable
519
      host_we_i     => cpu_i.we,       -- write enable
520
      host_re_i     => cpu_i.re,       -- read enable
521
      host_ack_o    => cpu_i.ack,      -- bus transfer acknowledge
522
      host_err_o    => cpu_i.err,      -- bus transfer error
523
      -- peripheral bus interface --
524
      bus_addr_o    => i_cache.addr,   -- bus access address
525
      bus_rdata_i   => i_cache.rdata,  -- bus read data
526
      bus_wdata_o   => i_cache.wdata,  -- bus write data
527
      bus_ben_o     => i_cache.ben,    -- byte enable
528
      bus_we_o      => i_cache.we,     -- write enable
529
      bus_re_o      => i_cache.re,     -- read enable
530
      bus_ack_i     => i_cache.ack,    -- bus transfer acknowledge
531
      bus_err_i     => i_cache.err     -- bus transfer error
532
    );
533
  end generate;
534
 
535 57 zero_gravi
  -- TODO: do not use LOCKED instruction fetch --
536
  i_cache.lock <= '0';
537
 
538 41 zero_gravi
  neorv32_icache_inst_false:
539 44 zero_gravi
  if (ICACHE_EN = false) generate
540 57 zero_gravi
    i_cache.addr  <= cpu_i.addr;
541
    cpu_i.rdata   <= i_cache.rdata;
542
    i_cache.wdata <= cpu_i.wdata;
543
    i_cache.ben   <= cpu_i.ben;
544
    i_cache.we    <= cpu_i.we;
545
    i_cache.re    <= cpu_i.re;
546
    cpu_i.ack     <= i_cache.ack;
547
    cpu_i.err     <= i_cache.err;
548 41 zero_gravi
  end generate;
549
 
550
 
551 45 zero_gravi
  -- CPU Bus Switch -------------------------------------------------------------------------
552 12 zero_gravi
  -- -------------------------------------------------------------------------------------------
553
  neorv32_busswitch_inst: neorv32_busswitch
554
  generic map (
555
    PORT_CA_READ_ONLY => false, -- set if controller port A is read-only
556
    PORT_CB_READ_ONLY => true   -- set if controller port B is read-only
557
  )
558
  port map (
559
    -- global control --
560 41 zero_gravi
    clk_i           => clk_i,          -- global clock, rising edge
561
    rstn_i          => sys_rstn,       -- global reset, low-active, async
562 12 zero_gravi
    -- controller interface a --
563 41 zero_gravi
    ca_bus_addr_i   => cpu_d.addr,     -- bus access address
564
    ca_bus_rdata_o  => cpu_d.rdata,    -- bus read data
565
    ca_bus_wdata_i  => cpu_d.wdata,    -- bus write data
566
    ca_bus_ben_i    => cpu_d.ben,      -- byte enable
567
    ca_bus_we_i     => cpu_d.we,       -- write enable
568
    ca_bus_re_i     => cpu_d.re,       -- read enable
569 57 zero_gravi
    ca_bus_lock_i   => cpu_d.lock,     -- exclusive access request
570 41 zero_gravi
    ca_bus_ack_o    => cpu_d.ack,      -- bus transfer acknowledge
571
    ca_bus_err_o    => cpu_d.err,      -- bus transfer error
572 12 zero_gravi
    -- controller interface b --
573 41 zero_gravi
    cb_bus_addr_i   => i_cache.addr,   -- bus access address
574
    cb_bus_rdata_o  => i_cache.rdata,  -- bus read data
575
    cb_bus_wdata_i  => i_cache.wdata,  -- bus write data
576
    cb_bus_ben_i    => i_cache.ben,    -- byte enable
577
    cb_bus_we_i     => i_cache.we,     -- write enable
578
    cb_bus_re_i     => i_cache.re,     -- read enable
579 57 zero_gravi
    cb_bus_lock_i   => i_cache.lock,   -- exclusive access request
580 41 zero_gravi
    cb_bus_ack_o    => i_cache.ack,    -- bus transfer acknowledge
581
    cb_bus_err_o    => i_cache.err,    -- bus transfer error
582 12 zero_gravi
    -- peripheral bus --
583 41 zero_gravi
    p_bus_src_o     => p_bus.src,      -- access source: 0 = A (data), 1 = B (instructions)
584
    p_bus_addr_o    => p_bus.addr,     -- bus access address
585
    p_bus_rdata_i   => p_bus.rdata,    -- bus read data
586
    p_bus_wdata_o   => p_bus.wdata,    -- bus write data
587
    p_bus_ben_o     => p_bus.ben,      -- byte enable
588
    p_bus_we_o      => p_bus.we,       -- write enable
589
    p_bus_re_o      => p_bus.re,       -- read enable
590 57 zero_gravi
    p_bus_lock_o    => p_bus.lock,     -- exclusive access request
591 41 zero_gravi
    p_bus_ack_i     => p_bus.ack,      -- bus transfer acknowledge
592
    p_bus_err_i     => p_bus.err       -- bus transfer error
593 12 zero_gravi
  );
594 2 zero_gravi
 
595 53 zero_gravi
  -- static signals --
596
  p_bus.priv <= cpu_i.priv; -- current CPU privilege level: cpu_i.priv == cpu_d.priv
597
 
598 49 zero_gravi
  -- processor bus: CPU transfer data input --
599 50 zero_gravi
  p_bus.rdata <= (imem_rdata or dmem_rdata or bootrom_rdata) or wishbone_rdata or (gpio_rdata or mtime_rdata or uart0_rdata or uart1_rdata or
600 52 zero_gravi
                 spi_rdata or twi_rdata or pwm_rdata or wdt_rdata or trng_rdata or cfs_rdata or nco_rdata or neoled_rdata or  sysinfo_rdata);
601 2 zero_gravi
 
602 49 zero_gravi
  -- processor bus: CPU transfer ACK input --
603 50 zero_gravi
  p_bus.ack <= (imem_ack or dmem_ack or bootrom_ack) or wishbone_ack or (gpio_ack or mtime_ack or uart0_ack or uart1_ack or
604 52 zero_gravi
               spi_ack or twi_ack or pwm_ack or wdt_ack or trng_ack or cfs_ack or nco_ack or neoled_ack or sysinfo_ack);
605 12 zero_gravi
 
606 49 zero_gravi
  -- processor bus: CPU transfer data bus error input --
607 57 zero_gravi
  p_bus.err <= bus_keeper_err or wishbone_err;
608 12 zero_gravi
 
609
 
610 57 zero_gravi
  -- Processor-Internal Bus Keeper (BUSKEEPER) ----------------------------------------------
611
  -- -------------------------------------------------------------------------------------------
612
  neorv32_bus_keeper_inst: neorv32_bus_keeper
613
  generic map (
614
    -- Internal instruction memory --
615
    MEM_INT_IMEM_EN   => MEM_INT_IMEM_EN,   -- implement processor-internal instruction memory
616
    MEM_INT_IMEM_SIZE => MEM_INT_IMEM_SIZE, -- size of processor-internal instruction memory in bytes
617
    -- Internal data memory --
618
    MEM_INT_DMEM_EN   => MEM_INT_DMEM_EN,   -- implement processor-internal data memory
619
    MEM_INT_DMEM_SIZE => MEM_INT_DMEM_SIZE  -- size of processor-internal data memory in bytes
620
  )
621
  port map (
622
    -- host access --
623
    clk_i  => clk_i,         -- global clock line
624
    rstn_i => sys_rstn,      -- global reset line, low-active
625
    addr_i => p_bus.addr,    -- address
626
    rden_i => p_bus.re,      -- read enable
627
    wren_i => p_bus.we,      -- write enable
628
    ack_i  => p_bus.ack,     -- transfer acknowledge from bus system
629
    err_i  => p_bus.err,     -- transfer error from bus system
630
    err_o  => bus_keeper_err -- bus error
631
  );
632 36 zero_gravi
 
633 57 zero_gravi
 
634 2 zero_gravi
  -- Processor-Internal Instruction Memory (IMEM) -------------------------------------------
635
  -- -------------------------------------------------------------------------------------------
636
  neorv32_int_imem_inst_true:
637 44 zero_gravi
  if (MEM_INT_IMEM_EN = true) generate
638 2 zero_gravi
    neorv32_int_imem_inst: neorv32_imem
639
    generic map (
640 23 zero_gravi
      IMEM_BASE      => imem_base_c,       -- memory base address
641 2 zero_gravi
      IMEM_SIZE      => MEM_INT_IMEM_SIZE, -- processor-internal instruction memory size in bytes
642
      IMEM_AS_ROM    => MEM_INT_IMEM_ROM,  -- implement IMEM as read-only memory?
643 45 zero_gravi
      BOOTLOADER_EN  => BOOTLOADER_EN      -- implement and use bootloader?
644 2 zero_gravi
    )
645
    port map (
646 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
647
      rden_i => p_bus.re,    -- read enable
648
      wren_i => p_bus.we,    -- write enable
649
      ben_i  => p_bus.ben,   -- byte write enable
650
      addr_i => p_bus.addr,  -- address
651
      data_i => p_bus.wdata, -- data in
652
      data_o => imem_rdata,  -- data out
653
      ack_o  => imem_ack     -- transfer acknowledge
654 2 zero_gravi
    );
655
  end generate;
656
 
657
  neorv32_int_imem_inst_false:
658 44 zero_gravi
  if (MEM_INT_IMEM_EN = false) generate
659 2 zero_gravi
    imem_rdata <= (others => '0');
660
    imem_ack   <= '0';
661
  end generate;
662
 
663
 
664
  -- Processor-Internal Data Memory (DMEM) --------------------------------------------------
665
  -- -------------------------------------------------------------------------------------------
666
  neorv32_int_dmem_inst_true:
667 44 zero_gravi
  if (MEM_INT_DMEM_EN = true) generate
668 2 zero_gravi
    neorv32_int_dmem_inst: neorv32_dmem
669
    generic map (
670 23 zero_gravi
      DMEM_BASE => dmem_base_c,      -- memory base address
671 2 zero_gravi
      DMEM_SIZE => MEM_INT_DMEM_SIZE -- processor-internal data memory size in bytes
672
    )
673
    port map (
674 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
675
      rden_i => p_bus.re,    -- read enable
676
      wren_i => p_bus.we,    -- write enable
677
      ben_i  => p_bus.ben,   -- byte write enable
678
      addr_i => p_bus.addr,  -- address
679
      data_i => p_bus.wdata, -- data in
680
      data_o => dmem_rdata,  -- data out
681
      ack_o  => dmem_ack     -- transfer acknowledge
682 2 zero_gravi
    );
683
  end generate;
684
 
685
  neorv32_int_dmem_inst_false:
686 44 zero_gravi
  if (MEM_INT_DMEM_EN = false) generate
687 2 zero_gravi
    dmem_rdata <= (others => '0');
688
    dmem_ack   <= '0';
689
  end generate;
690
 
691
 
692
  -- Processor-Internal Bootloader ROM (BOOTROM) --------------------------------------------
693
  -- -------------------------------------------------------------------------------------------
694
  neorv32_boot_rom_inst_true:
695 44 zero_gravi
  if (BOOTLOADER_EN = true) generate
696 2 zero_gravi
    neorv32_boot_rom_inst: neorv32_boot_rom
697 23 zero_gravi
    generic map (
698
      BOOTROM_BASE => boot_rom_base_c, -- boot ROM base address
699
      BOOTROM_SIZE => boot_rom_size_c  -- processor-internal boot TOM memory size in bytes
700
    )
701 2 zero_gravi
    port map (
702
      clk_i  => clk_i,         -- global clock line
703 12 zero_gravi
      rden_i => p_bus.re,      -- read enable
704
      addr_i => p_bus.addr,    -- address
705 2 zero_gravi
      data_o => bootrom_rdata, -- data out
706
      ack_o  => bootrom_ack    -- transfer acknowledge
707
    );
708
  end generate;
709
 
710
  neorv32_boot_rom_inst_false:
711 44 zero_gravi
  if (BOOTLOADER_EN = false) generate
712 2 zero_gravi
    bootrom_rdata <= (others => '0');
713
    bootrom_ack   <= '0';
714
  end generate;
715
 
716
 
717
  -- External Wishbone Gateway (WISHBONE) ---------------------------------------------------
718
  -- -------------------------------------------------------------------------------------------
719
  neorv32_wishbone_inst_true:
720 44 zero_gravi
  if (MEM_EXT_EN = true) generate
721 2 zero_gravi
    neorv32_wishbone_inst: neorv32_wishbone
722
    generic map (
723 45 zero_gravi
      WB_PIPELINED_MODE => wb_pipe_mode_c,    -- false: classic/standard wishbone mode, true: pipelined wishbone mode
724 23 zero_gravi
      -- Internal instruction memory --
725 45 zero_gravi
      MEM_INT_IMEM_EN   => MEM_INT_IMEM_EN,   -- implement processor-internal instruction memory
726
      MEM_INT_IMEM_SIZE => MEM_INT_IMEM_SIZE, -- size of processor-internal instruction memory in bytes
727 23 zero_gravi
      -- Internal data memory --
728 45 zero_gravi
      MEM_INT_DMEM_EN   => MEM_INT_DMEM_EN,   -- implement processor-internal data memory
729 57 zero_gravi
      MEM_INT_DMEM_SIZE => MEM_INT_DMEM_SIZE, -- size of processor-internal data memory in bytes
730
      -- Bus Timeout --
731
      BUS_TIMEOUT       => MEM_EXT_TIMEOUT    -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
732 2 zero_gravi
    )
733
    port map (
734
      -- global control --
735 39 zero_gravi
      clk_i     => clk_i,          -- global clock line
736
      rstn_i    => sys_rstn,       -- global reset line, low-active
737 2 zero_gravi
      -- host access --
738 39 zero_gravi
      src_i     => p_bus.src,      -- access type (0: data, 1:instruction)
739
      addr_i    => p_bus.addr,     -- address
740
      rden_i    => p_bus.re,       -- read enable
741
      wren_i    => p_bus.we,       -- write enable
742
      ben_i     => p_bus.ben,      -- byte write enable
743
      data_i    => p_bus.wdata,    -- data in
744
      data_o    => wishbone_rdata, -- data out
745 57 zero_gravi
      lock_i    => p_bus.lock,     -- exclusive access request
746 39 zero_gravi
      ack_o     => wishbone_ack,   -- transfer acknowledge
747
      err_o     => wishbone_err,   -- transfer error
748
      priv_i    => p_bus.priv,     -- current CPU privilege level
749 2 zero_gravi
      -- wishbone interface --
750 53 zero_gravi
      wb_tag_o  => wb_tag_o,       -- request tag
751 39 zero_gravi
      wb_adr_o  => wb_adr_o,       -- address
752
      wb_dat_i  => wb_dat_i,       -- read data
753
      wb_dat_o  => wb_dat_o,       -- write data
754
      wb_we_o   => wb_we_o,        -- read/write
755
      wb_sel_o  => wb_sel_o,       -- byte enable
756
      wb_stb_o  => wb_stb_o,       -- strobe
757
      wb_cyc_o  => wb_cyc_o,       -- valid cycle
758 57 zero_gravi
      wb_lock_o => wb_lock_o,      -- exclusive access request
759 39 zero_gravi
      wb_ack_i  => wb_ack_i,       -- transfer acknowledge
760
      wb_err_i  => wb_err_i        -- transfer error
761 2 zero_gravi
    );
762
  end generate;
763
 
764
  neorv32_wishbone_inst_false:
765 44 zero_gravi
  if (MEM_EXT_EN = false) generate
766 2 zero_gravi
    wishbone_rdata <= (others => '0');
767
    wishbone_ack   <= '0';
768
    wishbone_err   <= '0';
769
    --
770 53 zero_gravi
    wb_adr_o <= (others => '0');
771
    wb_dat_o <= (others => '0');
772
    wb_we_o  <= '0';
773
    wb_sel_o <= (others => '0');
774
    wb_stb_o <= '0';
775
    wb_cyc_o <= '0';
776
    wb_tag_o <= (others => '0');
777 2 zero_gravi
  end generate;
778
 
779
 
780
  -- IO Access? -----------------------------------------------------------------------------
781
  -- -------------------------------------------------------------------------------------------
782 12 zero_gravi
  io_acc  <= '1' when (p_bus.addr(data_width_c-1 downto index_size_f(io_size_c)) = io_base_c(data_width_c-1 downto index_size_f(io_size_c))) else '0';
783 40 zero_gravi
  io_rden <= io_acc and p_bus.re and (not p_bus.src); -- PMA: no_execute for IO region
784 47 zero_gravi
  -- the default NEORV32 peripheral/IO devices in the IO area can only be written in word mode (reduces HW complexity)
785
  io_wren <= io_acc and p_bus.we and and_all_f(p_bus.ben) and (not p_bus.src); -- PMA: write32 only, no_execute for IO region
786 2 zero_gravi
 
787
 
788 47 zero_gravi
  -- Custom Functions Subsystem (CFS) -------------------------------------------------------
789
  -- -------------------------------------------------------------------------------------------
790
  neorv32_cfs_inst_true:
791
  if (IO_CFS_EN = true) generate
792
    neorv32_cfs_inst: neorv32_cfs
793
    generic map (
794 52 zero_gravi
      CFS_CONFIG   => IO_CFS_CONFIG,  -- custom CFS configuration generic 
795
      CFS_IN_SIZE  => IO_CFS_IN_SIZE, -- size of CFS input conduit in bits
796
      CFS_OUT_SIZE => IO_CFS_OUT_SIZE -- size of CFS output conduit in bits
797 47 zero_gravi
    )
798
    port map (
799
      -- host access --
800
      clk_i       => clk_i,           -- global clock line
801
      rstn_i      => sys_rstn,        -- global reset line, low-active, use as async
802
      addr_i      => p_bus.addr,      -- address
803
      rden_i      => io_rden,         -- read enable
804
      wren_i      => io_wren,         -- byte write enable
805
      data_i      => p_bus.wdata,     -- data in
806
      data_o      => cfs_rdata,       -- data out
807
      ack_o       => cfs_ack,         -- transfer acknowledge
808
      -- clock generator --
809
      clkgen_en_o => cfs_cg_en,       -- enable clock generator
810
      clkgen_i    => clk_gen,         -- "clock" inputs
811
      -- CPU state --
812
      sleep_i     => cpu_sleep,       -- set if cpu is in sleep mode
813
      -- interrupt --
814
      irq_o       => cfs_irq,         -- interrupt request
815 48 zero_gravi
      irq_ack_i   => cfs_irq_ack,     -- interrupt acknowledge
816 47 zero_gravi
      -- custom io (conduit) --
817
      cfs_in_i    => cfs_in_i,        -- custom inputs
818
      cfs_out_o   => cfs_out_o        -- custom outputs
819
    );
820
  end generate;
821
 
822
  neorv32_cfs_inst_false:
823
  if (IO_CFS_EN = false) generate
824
    cfs_rdata <= (others => '0');
825
    cfs_ack   <= '0';
826
    cfs_cg_en <= '0';
827
    cfs_irq   <= '0';
828
    cfs_out_o <= (others => '0');
829
  end generate;
830
 
831
 
832 2 zero_gravi
  -- General Purpose Input/Output Port (GPIO) -----------------------------------------------
833
  -- -------------------------------------------------------------------------------------------
834
  neorv32_gpio_inst_true:
835 44 zero_gravi
  if (IO_GPIO_EN = true) generate
836 2 zero_gravi
    neorv32_gpio_inst: neorv32_gpio
837
    port map (
838
      -- host access --
839 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
840
      addr_i => p_bus.addr,  -- address
841
      rden_i => io_rden,     -- read enable
842
      wren_i => io_wren,     -- write enable
843
      data_i => p_bus.wdata, -- data in
844
      data_o => gpio_rdata,  -- data out
845
      ack_o  => gpio_ack,    -- transfer acknowledge
846 2 zero_gravi
      -- parallel io --
847
      gpio_o => gpio_o,
848
      gpio_i => gpio_i,
849
      -- interrupt --
850 12 zero_gravi
      irq_o  => gpio_irq     -- pin-change interrupt
851 2 zero_gravi
    );
852
  end generate;
853
 
854
  neorv32_gpio_inst_false:
855 44 zero_gravi
  if (IO_GPIO_EN = false) generate
856 2 zero_gravi
    gpio_rdata <= (others => '0');
857
    gpio_ack   <= '0';
858
    gpio_o     <= (others => '0');
859
    gpio_irq   <= '0';
860
  end generate;
861
 
862
 
863
  -- Watch Dog Timer (WDT) ------------------------------------------------------------------
864
  -- -------------------------------------------------------------------------------------------
865
  neorv32_wdt_inst_true:
866 44 zero_gravi
  if (IO_WDT_EN = true) generate
867 2 zero_gravi
    neorv32_wdt_inst: neorv32_wdt
868
    port map (
869
      -- host access --
870 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
871
      rstn_i      => ext_rstn,    -- global reset line, low-active
872
      rden_i      => io_rden,     -- read enable
873
      wren_i      => io_wren,     -- write enable
874
      addr_i      => p_bus.addr,  -- address
875
      data_i      => p_bus.wdata, -- data in
876
      data_o      => wdt_rdata,   -- data out
877
      ack_o       => wdt_ack,     -- transfer acknowledge
878 2 zero_gravi
      -- clock generator --
879 12 zero_gravi
      clkgen_en_o => wdt_cg_en,   -- enable clock generator
880 2 zero_gravi
      clkgen_i    => clk_gen,
881
      -- timeout event --
882 12 zero_gravi
      irq_o       => wdt_irq,     -- timeout IRQ
883
      rstn_o      => wdt_rstn     -- timeout reset, low_active, use it as async!
884 2 zero_gravi
    );
885
  end generate;
886
 
887
  neorv32_wdt_inst_false:
888 44 zero_gravi
  if (IO_WDT_EN = false) generate
889 2 zero_gravi
    wdt_rdata <= (others => '0');
890
    wdt_ack   <= '0';
891
    wdt_irq   <= '0';
892
    wdt_rstn  <= '1';
893
    wdt_cg_en <= '0';
894
  end generate;
895
 
896
 
897
  -- Machine System Timer (MTIME) -----------------------------------------------------------
898
  -- -------------------------------------------------------------------------------------------
899
  neorv32_mtime_inst_true:
900 44 zero_gravi
  if (IO_MTIME_EN = true) generate
901 2 zero_gravi
    neorv32_mtime_inst: neorv32_mtime
902
    port map (
903
      -- host access --
904 12 zero_gravi
      clk_i     => clk_i,       -- global clock line
905
      rstn_i    => sys_rstn,    -- global reset, low-active, async
906
      addr_i    => p_bus.addr,  -- address
907
      rden_i    => io_rden,     -- read enable
908
      wren_i    => io_wren,     -- write enable
909
      data_i    => p_bus.wdata, -- data in
910
      data_o    => mtime_rdata, -- data out
911
      ack_o     => mtime_ack,   -- transfer acknowledge
912 11 zero_gravi
      -- time output for CPU --
913 12 zero_gravi
      time_o    => mtime_time,  -- current system time
914 2 zero_gravi
      -- interrupt --
915 12 zero_gravi
      irq_o     => mtime_irq    -- interrupt request
916 2 zero_gravi
    );
917
  end generate;
918
 
919
  neorv32_mtime_inst_false:
920 44 zero_gravi
  if (IO_MTIME_EN = false) generate
921 2 zero_gravi
    mtime_rdata <= (others => '0');
922 40 zero_gravi
    mtime_time  <= mtime_i; -- use external machine timer time signal
923 2 zero_gravi
    mtime_ack   <= '0';
924 34 zero_gravi
    mtime_irq   <= mtime_irq_i; -- use external machine timer interrupt
925 2 zero_gravi
  end generate;
926
 
927
 
928 51 zero_gravi
  -- Primary Universal Asynchronous Receiver/Transmitter (UART0) ----------------------------
929 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
930 50 zero_gravi
  neorv32_uart0_inst_true:
931
  if (IO_UART0_EN = true) generate
932
    neorv32_uart0_inst: neorv32_uart
933
    generic map (
934
      UART_PRIMARY => true -- true = primary UART (UART0), false = secondary UART (UART1)
935
    )
936 2 zero_gravi
    port map (
937
      -- host access --
938 51 zero_gravi
      clk_i       => clk_i,         -- global clock line
939
      addr_i      => p_bus.addr,    -- address
940
      rden_i      => io_rden,       -- read enable
941
      wren_i      => io_wren,       -- write enable
942
      data_i      => p_bus.wdata,   -- data in
943
      data_o      => uart0_rdata,   -- data out
944
      ack_o       => uart0_ack,     -- transfer acknowledge
945 2 zero_gravi
      -- clock generator --
946 51 zero_gravi
      clkgen_en_o => uart0_cg_en,   -- enable clock generator
947 2 zero_gravi
      clkgen_i    => clk_gen,
948
      -- com lines --
949 50 zero_gravi
      uart_txd_o  => uart0_txd_o,
950
      uart_rxd_i  => uart0_rxd_i,
951 51 zero_gravi
      -- hardware flow control --
952
      uart_rts_o  => uart0_rts_o,   -- UART.RX ready to receive ("RTR"), low-active, optional
953
      uart_cts_i  => uart0_cts_i,   -- UART.TX allowed to transmit, low-active, optional
954 2 zero_gravi
      -- interrupts --
955 50 zero_gravi
      irq_rxd_o   => uart0_rxd_irq, -- uart data received interrupt
956
      irq_txd_o   => uart0_txd_irq  -- uart transmission done interrupt
957 2 zero_gravi
    );
958
  end generate;
959
 
960 50 zero_gravi
  neorv32_uart0_inst_false:
961
  if (IO_UART0_EN = false) generate
962
    uart0_rdata   <= (others => '0');
963
    uart0_ack     <= '0';
964
    uart0_txd_o   <= '0';
965 51 zero_gravi
    uart0_rts_o   <= '0';
966 50 zero_gravi
    uart0_cg_en   <= '0';
967
    uart0_rxd_irq <= '0';
968
    uart0_txd_irq <= '0';
969 2 zero_gravi
  end generate;
970
 
971
 
972 51 zero_gravi
  -- Secondary Universal Asynchronous Receiver/Transmitter (UART1) --------------------------
973 50 zero_gravi
  -- -------------------------------------------------------------------------------------------
974
  neorv32_uart1_inst_true:
975
  if (IO_UART1_EN = true) generate
976
    neorv32_uart1_inst: neorv32_uart
977
    generic map (
978
      UART_PRIMARY => false -- true = primary UART (UART0), false = secondary UART (UART1)
979
    )
980
    port map (
981
      -- host access --
982 51 zero_gravi
      clk_i       => clk_i,         -- global clock line
983
      addr_i      => p_bus.addr,    -- address
984
      rden_i      => io_rden,       -- read enable
985
      wren_i      => io_wren,       -- write enable
986
      data_i      => p_bus.wdata,   -- data in
987
      data_o      => uart1_rdata,   -- data out
988
      ack_o       => uart1_ack,     -- transfer acknowledge
989 50 zero_gravi
      -- clock generator --
990 51 zero_gravi
      clkgen_en_o => uart1_cg_en,   -- enable clock generator
991 50 zero_gravi
      clkgen_i    => clk_gen,
992
      -- com lines --
993
      uart_txd_o  => uart1_txd_o,
994
      uart_rxd_i  => uart1_rxd_i,
995 51 zero_gravi
      -- hardware flow control --
996
      uart_rts_o  => uart1_rts_o,   -- UART.RX ready to receive ("RTR"), low-active, optional
997
      uart_cts_i  => uart1_cts_i,   -- UART.TX allowed to transmit, low-active, optional
998 50 zero_gravi
      -- interrupts --
999
      irq_rxd_o   => uart1_rxd_irq, -- uart data received interrupt
1000
      irq_txd_o   => uart1_txd_irq  -- uart transmission done interrupt
1001
    );
1002
  end generate;
1003
 
1004
  neorv32_uart1_inst_false:
1005
  if (IO_UART1_EN = false) generate
1006
    uart1_rdata   <= (others => '0');
1007
    uart1_ack     <= '0';
1008
    uart1_txd_o   <= '0';
1009 51 zero_gravi
    uart1_rts_o   <= '0';
1010 50 zero_gravi
    uart1_cg_en   <= '0';
1011
    uart1_rxd_irq <= '0';
1012
    uart1_txd_irq <= '0';
1013
  end generate;
1014
 
1015
 
1016 2 zero_gravi
  -- Serial Peripheral Interface (SPI) ------------------------------------------------------
1017
  -- -------------------------------------------------------------------------------------------
1018
  neorv32_spi_inst_true:
1019 44 zero_gravi
  if (IO_SPI_EN = true) generate
1020 2 zero_gravi
    neorv32_spi_inst: neorv32_spi
1021
    port map (
1022
      -- host access --
1023 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
1024
      addr_i      => p_bus.addr,  -- address
1025
      rden_i      => io_rden,     -- read enable
1026
      wren_i      => io_wren,     -- write enable
1027
      data_i      => p_bus.wdata, -- data in
1028
      data_o      => spi_rdata,   -- data out
1029
      ack_o       => spi_ack,     -- transfer acknowledge
1030 2 zero_gravi
      -- clock generator --
1031 12 zero_gravi
      clkgen_en_o => spi_cg_en,   -- enable clock generator
1032 2 zero_gravi
      clkgen_i    => clk_gen,
1033
      -- com lines --
1034 12 zero_gravi
      spi_sck_o   => spi_sck_o,   -- SPI serial clock
1035
      spi_sdo_o   => spi_sdo_o,   -- controller data out, peripheral data in
1036
      spi_sdi_i   => spi_sdi_i,   -- controller data in, peripheral data out
1037
      spi_csn_o   => spi_csn_o,   -- SPI CS
1038 2 zero_gravi
      -- interrupt --
1039 48 zero_gravi
      irq_o       => spi_irq      -- transmission done interrupt
1040 2 zero_gravi
    );
1041
  end generate;
1042
 
1043
  neorv32_spi_inst_false:
1044 44 zero_gravi
  if (IO_SPI_EN = false) generate
1045 2 zero_gravi
    spi_rdata  <= (others => '0');
1046
    spi_ack    <= '0';
1047 6 zero_gravi
    spi_sck_o  <= '0';
1048
    spi_sdo_o  <= '0';
1049 2 zero_gravi
    spi_csn_o  <= (others => '1'); -- CSn lines are low-active
1050
    spi_cg_en  <= '0';
1051
    spi_irq    <= '0';
1052
  end generate;
1053
 
1054
 
1055
  -- Two-Wire Interface (TWI) ---------------------------------------------------------------
1056
  -- -------------------------------------------------------------------------------------------
1057
  neorv32_twi_inst_true:
1058 44 zero_gravi
  if (IO_TWI_EN = true) generate
1059 2 zero_gravi
    neorv32_twi_inst: neorv32_twi
1060
    port map (
1061
      -- host access --
1062 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
1063
      addr_i      => p_bus.addr,  -- address
1064
      rden_i      => io_rden,     -- read enable
1065
      wren_i      => io_wren,     -- write enable
1066
      data_i      => p_bus.wdata, -- data in
1067
      data_o      => twi_rdata,   -- data out
1068
      ack_o       => twi_ack,     -- transfer acknowledge
1069 2 zero_gravi
      -- clock generator --
1070 12 zero_gravi
      clkgen_en_o => twi_cg_en,   -- enable clock generator
1071 2 zero_gravi
      clkgen_i    => clk_gen,
1072
      -- com lines --
1073 12 zero_gravi
      twi_sda_io  => twi_sda_io,  -- serial data line
1074
      twi_scl_io  => twi_scl_io,  -- serial clock line
1075 2 zero_gravi
      -- interrupt --
1076 48 zero_gravi
      irq_o       => twi_irq      -- transfer done IRQ
1077 2 zero_gravi
    );
1078
  end generate;
1079
 
1080
  neorv32_twi_inst_false:
1081 44 zero_gravi
  if (IO_TWI_EN = false) generate
1082 2 zero_gravi
    twi_rdata  <= (others => '0');
1083
    twi_ack    <= '0';
1084 51 zero_gravi
--  twi_sda_io <= 'Z'; -- FIXME?
1085
--  twi_scl_io <= 'Z'; -- FIXME?
1086 2 zero_gravi
    twi_cg_en  <= '0';
1087
    twi_irq    <= '0';
1088
  end generate;
1089
 
1090
 
1091
  -- Pulse-Width Modulation Controller (PWM) ------------------------------------------------
1092
  -- -------------------------------------------------------------------------------------------
1093
  neorv32_pwm_inst_true:
1094 44 zero_gravi
  if (IO_PWM_EN = true) generate
1095 2 zero_gravi
    neorv32_pwm_inst: neorv32_pwm
1096
    port map (
1097
      -- host access --
1098 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
1099
      addr_i      => p_bus.addr,  -- address
1100
      rden_i      => io_rden,     -- read enable
1101
      wren_i      => io_wren,     -- write enable
1102
      data_i      => p_bus.wdata, -- data in
1103
      data_o      => pwm_rdata,   -- data out
1104
      ack_o       => pwm_ack,     -- transfer acknowledge
1105 2 zero_gravi
      -- clock generator --
1106 12 zero_gravi
      clkgen_en_o => pwm_cg_en,   -- enable clock generator
1107 2 zero_gravi
      clkgen_i    => clk_gen,
1108
      -- pwm output channels --
1109
      pwm_o       => pwm_o
1110
    );
1111
  end generate;
1112
 
1113
  neorv32_pwm_inst_false:
1114 44 zero_gravi
  if (IO_PWM_EN = false) generate
1115 2 zero_gravi
    pwm_rdata <= (others => '0');
1116
    pwm_ack   <= '0';
1117
    pwm_cg_en <= '0';
1118
    pwm_o     <= (others => '0');
1119
  end generate;
1120
 
1121
 
1122 49 zero_gravi
  -- Numerically-Controlled Oscillator (NCO) ------------------------------------------------
1123
  -- -------------------------------------------------------------------------------------------
1124
  neorv32_nco_inst_true:
1125
  if (IO_NCO_EN = true) generate
1126
    neorv32_nco_inst: neorv32_nco
1127
    port map (
1128
      -- host access --
1129
      clk_i       => clk_i,       -- global clock line
1130
      addr_i      => p_bus.addr,  -- address
1131
      rden_i      => io_rden,     -- read enable
1132
      wren_i      => io_wren,     -- write enable
1133
      data_i      => p_bus.wdata, -- data in
1134
      data_o      => nco_rdata,   -- data out
1135
      ack_o       => nco_ack,     -- transfer acknowledge
1136
      -- clock generator --
1137
      clkgen_en_o => nco_cg_en,   -- enable clock generator
1138
      clkgen_i    => clk_gen,
1139
      -- NCO output --
1140
      nco_o       => nco_o
1141
    );
1142
  end generate;
1143
 
1144
  neorv32_nco_inst_false:
1145
  if (IO_NCO_EN = false) generate
1146
    nco_rdata <= (others => '0');
1147
    nco_ack   <= '0';
1148
    nco_cg_en <= '0';
1149
    nco_o     <= (others => '0');
1150
  end generate;
1151
 
1152
 
1153 2 zero_gravi
  -- True Random Number Generator (TRNG) ----------------------------------------------------
1154
  -- -------------------------------------------------------------------------------------------
1155
  neorv32_trng_inst_true:
1156 44 zero_gravi
  if (IO_TRNG_EN = true) generate
1157 2 zero_gravi
    neorv32_trng_inst: neorv32_trng
1158
    port map (
1159
      -- host access --
1160 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
1161
      addr_i => p_bus.addr,  -- address
1162
      rden_i => io_rden,     -- read enable
1163
      wren_i => io_wren,     -- write enable
1164
      data_i => p_bus.wdata, -- data in
1165
      data_o => trng_rdata,  -- data out
1166
      ack_o  => trng_ack     -- transfer acknowledge
1167 2 zero_gravi
    );
1168
  end generate;
1169
 
1170
  neorv32_trng_inst_false:
1171 44 zero_gravi
  if (IO_TRNG_EN = false) generate
1172 2 zero_gravi
    trng_rdata <= (others => '0');
1173
    trng_ack   <= '0';
1174
  end generate;
1175
 
1176
 
1177 52 zero_gravi
  -- Smart LED (WS2811/WS2812) Interface (NEOLED) -------------------------------------------
1178
  -- -------------------------------------------------------------------------------------------
1179
  neorv32_neoled_inst_true:
1180
  if (IO_NEOLED_EN = true) generate
1181
    neorv32_neoled_inst: neorv32_neoled
1182
    port map (
1183
      -- host access --
1184
      clk_i       => clk_i,        -- global clock line
1185
      addr_i      => p_bus.addr,   -- address
1186
      rden_i      => io_rden,      -- read enable
1187
      wren_i      => io_wren,      -- write enable
1188
      data_i      => p_bus.wdata,  -- data in
1189
      data_o      => neoled_rdata, -- data out
1190
      ack_o       => neoled_ack,   -- transfer acknowledge
1191
      -- clock generator --
1192
      clkgen_en_o => neoled_cg_en, -- enable clock generator
1193
      clkgen_i    => clk_gen,
1194
      -- interrupt --
1195
      irq_o       => neoled_irq,   -- interrupt request
1196
      -- NEOLED output --
1197
      neoled_o    => neoled_o      -- serial async data line
1198
    );
1199
  end generate;
1200
 
1201
  neorv32_neoled_inst_false:
1202
  if (IO_NEOLED_EN = false) generate
1203
    neoled_rdata <= (others => '0');
1204
    neoled_ack   <= '0';
1205
    neoled_cg_en <= '0';
1206
    neoled_irq   <= '0';
1207
    neoled_o     <= '0';
1208
  end generate;
1209
 
1210
 
1211 12 zero_gravi
  -- System Configuration Information Memory (SYSINFO) --------------------------------------
1212
  -- -------------------------------------------------------------------------------------------
1213
  neorv32_sysinfo_inst: neorv32_sysinfo
1214
  generic map (
1215
    -- General --
1216 45 zero_gravi
    CLOCK_FREQUENCY      => CLOCK_FREQUENCY,      -- clock frequency of clk_i in Hz
1217
    BOOTLOADER_EN        => BOOTLOADER_EN,        -- implement processor-internal bootloader?
1218
    USER_CODE            => USER_CODE,            -- custom user code
1219 23 zero_gravi
    -- internal Instruction memory --
1220 45 zero_gravi
    MEM_INT_IMEM_EN      => MEM_INT_IMEM_EN,      -- implement processor-internal instruction memory
1221
    MEM_INT_IMEM_SIZE    => MEM_INT_IMEM_SIZE,    -- size of processor-internal instruction memory in bytes
1222
    MEM_INT_IMEM_ROM     => MEM_INT_IMEM_ROM,     -- implement processor-internal instruction memory as ROM
1223 23 zero_gravi
    -- Internal Data memory --
1224 45 zero_gravi
    MEM_INT_DMEM_EN      => MEM_INT_DMEM_EN,      -- implement processor-internal data memory
1225
    MEM_INT_DMEM_SIZE    => MEM_INT_DMEM_SIZE,    -- size of processor-internal data memory in bytes
1226 41 zero_gravi
    -- Internal Cache memory --
1227 45 zero_gravi
    ICACHE_EN            => ICACHE_EN,            -- implement instruction cache
1228
    ICACHE_NUM_BLOCKS    => ICACHE_NUM_BLOCKS,    -- i-cache: number of blocks (min 2), has to be a power of 2
1229
    ICACHE_BLOCK_SIZE    => ICACHE_BLOCK_SIZE,    -- i-cache: block size in bytes (min 4), has to be a power of 2
1230
    ICACHE_ASSOCIATIVITY => ICACHE_ASSOCIATIVITY, -- i-cache: associativity (min 1), has to be a power 2
1231 23 zero_gravi
    -- External memory interface --
1232 45 zero_gravi
    MEM_EXT_EN           => MEM_EXT_EN,           -- implement external memory bus interface?
1233 12 zero_gravi
    -- Processor peripherals --
1234 45 zero_gravi
    IO_GPIO_EN           => IO_GPIO_EN,           -- implement general purpose input/output port unit (GPIO)?
1235
    IO_MTIME_EN          => IO_MTIME_EN,          -- implement machine system timer (MTIME)?
1236 50 zero_gravi
    IO_UART0_EN          => IO_UART0_EN,          -- implement primary universal asynchronous receiver/transmitter (UART0)?
1237
    IO_UART1_EN          => IO_UART1_EN,          -- implement secondary universal asynchronous receiver/transmitter (UART1)?
1238 45 zero_gravi
    IO_SPI_EN            => IO_SPI_EN,            -- implement serial peripheral interface (SPI)?
1239
    IO_TWI_EN            => IO_TWI_EN,            -- implement two-wire interface (TWI)?
1240
    IO_PWM_EN            => IO_PWM_EN,            -- implement pulse-width modulation unit (PWM)?
1241
    IO_WDT_EN            => IO_WDT_EN,            -- implement watch dog timer (WDT)?
1242
    IO_TRNG_EN           => IO_TRNG_EN,           -- implement true random number generator (TRNG)?
1243 49 zero_gravi
    IO_CFS_EN            => IO_CFS_EN,            -- implement custom functions subsystem (CFS)?
1244 52 zero_gravi
    IO_NCO_EN            => IO_NCO_EN,            -- implement numerically-controlled oscillator (NCO)?
1245
    IO_NEOLED_EN         => IO_NEOLED_EN          -- implement NeoPixel-compatible smart LED interface (NEOLED)?
1246 12 zero_gravi
  )
1247
  port map (
1248
    -- host access --
1249
    clk_i  => clk_i,         -- global clock line
1250
    addr_i => p_bus.addr,    -- address
1251
    rden_i => io_rden,       -- read enable
1252
    data_o => sysinfo_rdata, -- data out
1253
    ack_o  => sysinfo_ack    -- transfer acknowledge
1254
  );
1255
 
1256
 
1257 2 zero_gravi
end neorv32_top_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.