OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_top.vhd] - Blame information for rev 62

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Processor Top Entity >>                                                          #
3
-- # ********************************************************************************************* #
4 18 zero_gravi
-- # This is the top entity of the NEORV32 PROCESSOR. Instantiate this unit in your own project    #
5 2 zero_gravi
-- # and define all the configuration generics according to your needs. Alternatively, you can use #
6 61 zero_gravi
-- # one of the alternative top entities provided in the "rtl/templates" folder.                   #
7 18 zero_gravi
-- #                                                                                               #
8 59 zero_gravi
-- # Check out the processor's documentation for more information.                                 #
9 2 zero_gravi
-- # ********************************************************************************************* #
10
-- # BSD 3-Clause License                                                                          #
11
-- #                                                                                               #
12 42 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
13 2 zero_gravi
-- #                                                                                               #
14
-- # Redistribution and use in source and binary forms, with or without modification, are          #
15
-- # permitted provided that the following conditions are met:                                     #
16
-- #                                                                                               #
17
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
18
-- #    conditions and the following disclaimer.                                                   #
19
-- #                                                                                               #
20
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
21
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
22
-- #    provided with the distribution.                                                            #
23
-- #                                                                                               #
24
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
25
-- #    endorse or promote products derived from this software without specific prior written      #
26
-- #    permission.                                                                                #
27
-- #                                                                                               #
28
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
29
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
30
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
31
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
32
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
33
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
34
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
35
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
36
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
37
-- # ********************************************************************************************* #
38
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
39
-- #################################################################################################
40
 
41
library ieee;
42
use ieee.std_logic_1164.all;
43
use ieee.numeric_std.all;
44
 
45
library neorv32;
46
use neorv32.neorv32_package.all;
47
 
48
entity neorv32_top is
49
  generic (
50
    -- General --
51 62 zero_gravi
    CLOCK_FREQUENCY              : natural;           -- clock frequency of clk_i in Hz
52 12 zero_gravi
    USER_CODE                    : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
53 49 zero_gravi
    HW_THREAD_ID                 : natural := 0;      -- hardware thread id (32-bit)
54 62 zero_gravi
    INT_BOOTLOADER_EN            : boolean := false;  -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
55 50 zero_gravi
 
56 59 zero_gravi
    -- On-Chip Debugger (OCD) --
57
    ON_CHIP_DEBUGGER_EN          : boolean := false;  -- implement on-chip debugger
58
 
59 2 zero_gravi
    -- RISC-V CPU Extensions --
60 39 zero_gravi
    CPU_EXTENSION_RISCV_A        : boolean := false;  -- implement atomic extension?
61 11 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
62 8 zero_gravi
    CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
63 61 zero_gravi
    CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement mul/div extension?
64 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
65 57 zero_gravi
    CPU_EXTENSION_RISCV_Zfinx    : boolean := false;  -- implement 32-bit floating-point extension (using INT regs!)
66 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
67 39 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei : boolean := false;  -- implement instruction stream sync.?
68 61 zero_gravi
    CPU_EXTENSION_RISCV_Zmmul    : boolean := false;  -- implement multiply-only M sub-extension?
69 50 zero_gravi
 
70 19 zero_gravi
    -- Extension Options --
71 23 zero_gravi
    FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
72 39 zero_gravi
    FAST_SHIFT_EN                : boolean := false;  -- use barrel shifter for shift operations
73 56 zero_gravi
    CPU_CNT_WIDTH                : natural := 64;     -- total width of CPU cycle and instret counters (0..64)
74 62 zero_gravi
    CPU_IPB_ENTRIES              : natural := 2;      -- entries is instruction prefetch buffer, has to be a power of 2
75 50 zero_gravi
 
76 15 zero_gravi
    -- Physical Memory Protection (PMP) --
77 42 zero_gravi
    PMP_NUM_REGIONS              : natural := 0;      -- number of regions (0..64)
78
    PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
79 50 zero_gravi
 
80 42 zero_gravi
    -- Hardware Performance Monitors (HPM) --
81 47 zero_gravi
    HPM_NUM_CNTS                 : natural := 0;      -- number of implemented HPM counters (0..29)
82 60 zero_gravi
    HPM_CNT_WIDTH                : natural := 40;     -- total size of HPM counters (0..64)
83 50 zero_gravi
 
84 61 zero_gravi
    -- Internal Instruction memory (IMEM) --
85 62 zero_gravi
    MEM_INT_IMEM_EN              : boolean := false;  -- implement processor-internal instruction memory
86 8 zero_gravi
    MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
87 50 zero_gravi
 
88 61 zero_gravi
    -- Internal Data memory (DMEM) --
89 62 zero_gravi
    MEM_INT_DMEM_EN              : boolean := false;  -- implement processor-internal data memory
90 8 zero_gravi
    MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
91 50 zero_gravi
 
92 61 zero_gravi
    -- Internal Cache memory (iCACHE) --
93 44 zero_gravi
    ICACHE_EN                    : boolean := false;  -- implement instruction cache
94 41 zero_gravi
    ICACHE_NUM_BLOCKS            : natural := 4;      -- i-cache: number of blocks (min 1), has to be a power of 2
95
    ICACHE_BLOCK_SIZE            : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
96 45 zero_gravi
    ICACHE_ASSOCIATIVITY         : natural := 1;      -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
97 50 zero_gravi
 
98 61 zero_gravi
    -- External memory interface (WISHBONE) --
99 44 zero_gravi
    MEM_EXT_EN                   : boolean := false;  -- implement external memory bus interface?
100 57 zero_gravi
    MEM_EXT_TIMEOUT              : natural := 255;    -- cycles after a pending bus access auto-terminates (0 = disabled)
101 62 zero_gravi
    MEM_EXT_PIPE_MODE            : boolean := false;  -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
102
    MEM_EXT_BIG_ENDIAN           : boolean := false;  -- byte order: true=big-endian, false=little-endian
103
    MEM_EXT_ASYNC_RX             : boolean := false;  -- use register buffer for RX data when false
104 50 zero_gravi
 
105 61 zero_gravi
    -- Stream link interface (SLINK) --
106
    SLINK_NUM_TX                 : natural := 0;      -- number of TX links (0..8)
107
    SLINK_NUM_RX                 : natural := 0;      -- number of TX links (0..8)
108
    SLINK_TX_FIFO                : natural := 1;      -- TX fifo depth, has to be a power of two
109
    SLINK_RX_FIFO                : natural := 1;      -- RX fifo depth, has to be a power of two
110
 
111
    -- External Interrupts Controller (XIRQ) --
112
    XIRQ_NUM_CH                  : natural := 0;      -- number of external IRQ channels (0..32)
113 62 zero_gravi
    XIRQ_TRIGGER_TYPE            : std_ulogic_vector(31 downto 0) := x"FFFFFFFF"; -- trigger type: 0=level, 1=edge
114
    XIRQ_TRIGGER_POLARITY        : std_ulogic_vector(31 downto 0) := x"FFFFFFFF"; -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
115 61 zero_gravi
 
116 2 zero_gravi
    -- Processor peripherals --
117 62 zero_gravi
    IO_GPIO_EN                   : boolean := false;  -- implement general purpose input/output port unit (GPIO)?
118
    IO_MTIME_EN                  : boolean := false;  -- implement machine system timer (MTIME)?
119
    IO_UART0_EN                  : boolean := false;  -- implement primary universal asynchronous receiver/transmitter (UART0)?
120
    IO_UART1_EN                  : boolean := false;  -- implement secondary universal asynchronous receiver/transmitter (UART1)?
121
    IO_SPI_EN                    : boolean := false;  -- implement serial peripheral interface (SPI)?
122
    IO_TWI_EN                    : boolean := false;  -- implement two-wire interface (TWI)?
123
    IO_PWM_NUM_CH                : natural := 0;      -- number of PWM channels to implement (0..60); 0 = disabled
124
    IO_WDT_EN                    : boolean := false;  -- implement watch dog timer (WDT)?
125 44 zero_gravi
    IO_TRNG_EN                   : boolean := false;  -- implement true random number generator (TRNG)?
126 47 zero_gravi
    IO_CFS_EN                    : boolean := false;  -- implement custom functions subsystem (CFS)?
127 56 zero_gravi
    IO_CFS_CONFIG                : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom CFS configuration generic
128 52 zero_gravi
    IO_CFS_IN_SIZE               : positive := 32;    -- size of CFS input conduit in bits
129
    IO_CFS_OUT_SIZE              : positive := 32;    -- size of CFS output conduit in bits
130 62 zero_gravi
    IO_NEOLED_EN                 : boolean := false;  -- implement NeoPixel-compatible smart LED interface (NEOLED)?
131
    IO_NEOLED_TX_FIFO            : natural := 1       -- NEOLED TX FIFO depth, 1..32k, has to be a power of two
132 2 zero_gravi
  );
133
  port (
134
    -- Global control --
135 62 zero_gravi
    clk_i          : in  std_ulogic; -- global clock, rising edge
136
    rstn_i         : in  std_ulogic; -- global reset, low-active, async
137 50 zero_gravi
 
138 59 zero_gravi
    -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) --
139 62 zero_gravi
    jtag_trst_i    : in  std_ulogic := 'U'; -- low-active TAP reset (optional)
140
    jtag_tck_i     : in  std_ulogic := 'U'; -- serial clock
141
    jtag_tdi_i     : in  std_ulogic := 'U'; -- serial data input
142 61 zero_gravi
    jtag_tdo_o     : out std_ulogic;        -- serial data output
143 62 zero_gravi
    jtag_tms_i     : in  std_ulogic := 'U'; -- mode select
144 59 zero_gravi
 
145 44 zero_gravi
    -- Wishbone bus interface (available if MEM_EXT_EN = true) --
146 61 zero_gravi
    wb_tag_o       : out std_ulogic_vector(02 downto 0); -- request tag
147
    wb_adr_o       : out std_ulogic_vector(31 downto 0); -- address
148 62 zero_gravi
    wb_dat_i       : in  std_ulogic_vector(31 downto 0) := (others => 'U'); -- read data
149 61 zero_gravi
    wb_dat_o       : out std_ulogic_vector(31 downto 0); -- write data
150
    wb_we_o        : out std_ulogic; -- read/write
151
    wb_sel_o       : out std_ulogic_vector(03 downto 0); -- byte enable
152
    wb_stb_o       : out std_ulogic; -- strobe
153
    wb_cyc_o       : out std_ulogic; -- valid cycle
154
    wb_lock_o      : out std_ulogic; -- exclusive access request
155 62 zero_gravi
    wb_ack_i       : in  std_ulogic := 'L'; -- transfer acknowledge
156
    wb_err_i       : in  std_ulogic := 'L'; -- transfer error
157 50 zero_gravi
 
158 44 zero_gravi
    -- Advanced memory control signals (available if MEM_EXT_EN = true) --
159 61 zero_gravi
    fence_o        : out std_ulogic; -- indicates an executed FENCE operation
160
    fencei_o       : out std_ulogic; -- indicates an executed FENCEI operation
161 50 zero_gravi
 
162 61 zero_gravi
    -- TX stream interfaces (available if SLINK_NUM_TX > 0) --
163
    slink_tx_dat_o : out sdata_8x32_t; -- output data
164
    slink_tx_val_o : out std_ulogic_vector(7 downto 0); -- valid output
165 62 zero_gravi
    slink_tx_rdy_i : in  std_ulogic_vector(7 downto 0) := (others => 'L'); -- ready to send
166 61 zero_gravi
 
167
    -- RX stream interfaces (available if SLINK_NUM_RX > 0) --
168 62 zero_gravi
    slink_rx_dat_i : in  sdata_8x32_t := (others => (others => 'U')); -- input data
169
    slink_rx_val_i : in  std_ulogic_vector(7 downto 0) := (others => 'L'); -- valid input
170 61 zero_gravi
    slink_rx_rdy_o : out std_ulogic_vector(7 downto 0); -- ready to receive
171
 
172 44 zero_gravi
    -- GPIO (available if IO_GPIO_EN = true) --
173 61 zero_gravi
    gpio_o         : out std_ulogic_vector(63 downto 0); -- parallel output
174 62 zero_gravi
    gpio_i         : in  std_ulogic_vector(63 downto 0) := (others => 'U'); -- parallel input
175 50 zero_gravi
 
176
    -- primary UART0 (available if IO_UART0_EN = true) --
177 61 zero_gravi
    uart0_txd_o    : out std_ulogic; -- UART0 send data
178 62 zero_gravi
    uart0_rxd_i    : in  std_ulogic := 'U'; -- UART0 receive data
179 61 zero_gravi
    uart0_rts_o    : out std_ulogic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
180 62 zero_gravi
    uart0_cts_i    : in  std_ulogic := 'L'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional
181 50 zero_gravi
 
182
    -- secondary UART1 (available if IO_UART1_EN = true) --
183 61 zero_gravi
    uart1_txd_o    : out std_ulogic; -- UART1 send data
184 62 zero_gravi
    uart1_rxd_i    : in  std_ulogic := 'U'; -- UART1 receive data
185 61 zero_gravi
    uart1_rts_o    : out std_ulogic; -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
186 62 zero_gravi
    uart1_cts_i    : in  std_ulogic := 'L'; -- hw flow control: UART1.TX allowed to transmit, low-active, optional
187 50 zero_gravi
 
188 44 zero_gravi
    -- SPI (available if IO_SPI_EN = true) --
189 61 zero_gravi
    spi_sck_o      : out std_ulogic; -- SPI serial clock
190
    spi_sdo_o      : out std_ulogic; -- controller data out, peripheral data in
191 62 zero_gravi
    spi_sdi_i      : in  std_ulogic := 'U'; -- controller data in, peripheral data out
192 61 zero_gravi
    spi_csn_o      : out std_ulogic_vector(07 downto 0); -- chip-select
193 50 zero_gravi
 
194 44 zero_gravi
    -- TWI (available if IO_TWI_EN = true) --
195 62 zero_gravi
    twi_sda_io     : inout std_logic := 'U'; -- twi serial data line
196
    twi_scl_io     : inout std_logic := 'U'; -- twi serial clock line
197 50 zero_gravi
 
198 60 zero_gravi
    -- PWM (available if IO_PWM_NUM_CH > 0) --
199 61 zero_gravi
    pwm_o          : out std_ulogic_vector(IO_PWM_NUM_CH-1 downto 0); -- pwm channels
200 50 zero_gravi
 
201 47 zero_gravi
    -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) --
202 62 zero_gravi
    cfs_in_i       : in  std_ulogic_vector(IO_CFS_IN_SIZE-1  downto 0) := (others => 'U'); -- custom CFS inputs conduit
203 61 zero_gravi
    cfs_out_o      : out std_ulogic_vector(IO_CFS_OUT_SIZE-1 downto 0); -- custom CFS outputs conduit
204 50 zero_gravi
 
205 52 zero_gravi
    -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
206 61 zero_gravi
    neoled_o       : out std_ulogic; -- async serial data line
207 52 zero_gravi
 
208 59 zero_gravi
    -- System time --
209 62 zero_gravi
    mtime_i        : in  std_ulogic_vector(63 downto 0) := (others => 'U'); -- current system time from ext. MTIME (if IO_MTIME_EN = false)
210 61 zero_gravi
    mtime_o        : out std_ulogic_vector(63 downto 0); -- current system time from int. MTIME (if IO_MTIME_EN = true)
211 50 zero_gravi
 
212 61 zero_gravi
    -- External platform interrupts (available if XIRQ_NUM_CH > 0) --
213 62 zero_gravi
    xirq_i         : in  std_ulogic_vector(XIRQ_NUM_CH-1 downto 0) := (others => 'L'); -- IRQ channels
214 61 zero_gravi
 
215
    -- CPU interrupts --
216 62 zero_gravi
    nm_irq_i       : in  std_ulogic := 'L'; -- non-maskable interrupt
217
    mtime_irq_i    : in  std_ulogic := 'L'; -- machine timer interrupt, available if IO_MTIME_EN = false
218
    msw_irq_i      : in  std_ulogic := 'L'; -- machine software interrupt
219
    mext_irq_i     : in  std_ulogic := 'L'  -- machine external interrupt
220 2 zero_gravi
  );
221
end neorv32_top;
222
 
223
architecture neorv32_top_rtl of neorv32_top is
224
 
225 61 zero_gravi
  -- CPU boot configuration --
226
  constant cpu_boot_addr_c : std_ulogic_vector(31 downto 0) := cond_sel_stdulogicvector_f(INT_BOOTLOADER_EN, boot_rom_base_c, ispace_base_c);
227 12 zero_gravi
 
228 29 zero_gravi
  -- alignment check for internal memories --
229
  constant imem_align_check_c : std_ulogic_vector(index_size_f(MEM_INT_IMEM_SIZE)-1 downto 0) := (others => '0');
230
  constant dmem_align_check_c : std_ulogic_vector(index_size_f(MEM_INT_DMEM_SIZE)-1 downto 0) := (others => '0');
231
 
232 61 zero_gravi
  -- helpers --
233
  constant io_slink_en_c : boolean := boolean(SLINK_NUM_RX > 0) or boolean(SLINK_NUM_TX > 0); -- implement slink at all?
234
 
235 2 zero_gravi
  -- reset generator --
236 60 zero_gravi
  signal rstn_gen : std_ulogic_vector(7 downto 0);
237
  signal ext_rstn : std_ulogic;
238
  signal sys_rstn : std_ulogic;
239
  signal wdt_rstn : std_ulogic;
240 2 zero_gravi
 
241
  -- clock generator --
242
  signal clk_div    : std_ulogic_vector(11 downto 0);
243
  signal clk_div_ff : std_ulogic_vector(11 downto 0);
244
  signal clk_gen    : std_ulogic_vector(07 downto 0);
245 61 zero_gravi
  signal clk_gen_en : std_ulogic_vector(07 downto 0);
246 47 zero_gravi
  --
247 52 zero_gravi
  signal wdt_cg_en    : std_ulogic;
248
  signal uart0_cg_en  : std_ulogic;
249
  signal uart1_cg_en  : std_ulogic;
250
  signal spi_cg_en    : std_ulogic;
251
  signal twi_cg_en    : std_ulogic;
252
  signal pwm_cg_en    : std_ulogic;
253
  signal cfs_cg_en    : std_ulogic;
254
  signal neoled_cg_en : std_ulogic;
255 2 zero_gravi
 
256 12 zero_gravi
  -- bus interface --
257
  type bus_interface_t is record
258 11 zero_gravi
    addr   : std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
259
    rdata  : std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
260
    wdata  : std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
261
    ben    : std_ulogic_vector(03 downto 0); -- byte enable
262
    we     : std_ulogic; -- write enable
263
    re     : std_ulogic; -- read enable
264
    ack    : std_ulogic; -- bus transfer acknowledge
265
    err    : std_ulogic; -- bus transfer error
266 12 zero_gravi
    fence  : std_ulogic; -- fence(i) instruction executed
267 35 zero_gravi
    priv   : std_ulogic_vector(1 downto 0); -- current privilege level
268 40 zero_gravi
    src    : std_ulogic; -- access source (1=instruction fetch, 0=data access)
269 57 zero_gravi
    lock   : std_ulogic; -- exclusive access request
270 11 zero_gravi
  end record;
271 41 zero_gravi
  signal cpu_i, i_cache, cpu_d, p_bus : bus_interface_t;
272 2 zero_gravi
 
273 59 zero_gravi
  -- debug core interface (DCI) --
274
  signal dci_ndmrstn  : std_ulogic;
275
  signal dci_halt_req : std_ulogic;
276
 
277
  -- debug module interface (DMI) --
278
  type dmi_t is record
279
    rstn       : std_ulogic;
280
    req_valid  : std_ulogic;
281
    req_ready  : std_ulogic; -- DMI is allowed to make new requests when set
282
    req_addr   : std_ulogic_vector(06 downto 0);
283
    req_op     : std_ulogic; -- 0=read, 1=write
284
    req_data   : std_ulogic_vector(31 downto 0);
285
    resp_valid : std_ulogic; -- response valid when set
286
    resp_ready : std_ulogic; -- ready to receive respond
287
    resp_data  : std_ulogic_vector(31 downto 0);
288
    resp_err   : std_ulogic; -- 0=ok, 1=error
289
  end record;
290
  signal dmi : dmi_t;
291
 
292 2 zero_gravi
  -- io space access --
293
  signal io_acc  : std_ulogic;
294
  signal io_rden : std_ulogic;
295
  signal io_wren : std_ulogic;
296
 
297 60 zero_gravi
  -- module response bus - entry type --
298
  type resp_bus_entry_t is record
299
    rdata : std_ulogic_vector(data_width_c-1 downto 0);
300
    ack   : std_ulogic;
301
    err   : std_ulogic;
302
  end record;
303
  constant resp_bus_entry_terminate_c : resp_bus_entry_t := (rdata => (others => '0'), ack => '0', err => '0');
304 2 zero_gravi
 
305 60 zero_gravi
  -- module response bus - device ID --
306
  type resp_bus_id_t is (RESP_IMEM, RESP_DMEM, RESP_BOOTROM, RESP_WISHBONE, RESP_GPIO, RESP_MTIME, RESP_UART0, RESP_UART1, RESP_SPI,
307 61 zero_gravi
                         RESP_TWI, RESP_PWM, RESP_WDT, RESP_TRNG, RESP_CFS, RESP_NEOLED, RESP_SYSINFO, RESP_OCD, RESP_SLINK, RESP_XIRQ);
308 60 zero_gravi
 
309
  -- module response bus --
310
  type resp_bus_t is array (resp_bus_id_t) of resp_bus_entry_t;
311
  signal resp_bus : resp_bus_t := (others => resp_bus_entry_terminate_c);
312
 
313 2 zero_gravi
  -- IRQs --
314 59 zero_gravi
  signal fast_irq      : std_ulogic_vector(15 downto 0);
315 60 zero_gravi
  signal mtime_irq     : std_ulogic;
316 50 zero_gravi
  signal wdt_irq       : std_ulogic;
317
  signal uart0_rxd_irq : std_ulogic;
318
  signal uart0_txd_irq : std_ulogic;
319
  signal uart1_rxd_irq : std_ulogic;
320
  signal uart1_txd_irq : std_ulogic;
321
  signal spi_irq       : std_ulogic;
322
  signal twi_irq       : std_ulogic;
323
  signal cfs_irq       : std_ulogic;
324 52 zero_gravi
  signal neoled_irq    : std_ulogic;
325 61 zero_gravi
  signal slink_tx_irq  : std_ulogic;
326
  signal slink_rx_irq  : std_ulogic;
327
  signal xirq_irq      : std_ulogic;
328 2 zero_gravi
 
329 62 zero_gravi
  -- machine (CPU) interrupts --
330
  signal x_nm_irq,    nm_irq_ff    : std_ulogic;
331
  signal x_mtime_irq, mtime_irq_ff : std_ulogic;
332
  signal x_msw_irq,   msw_irq_ff   : std_ulogic;
333
  signal x_mext_irq,  mext_irq_ff  : std_ulogic;
334
 
335 11 zero_gravi
  -- misc --
336 60 zero_gravi
  signal mtime_time     : std_ulogic_vector(63 downto 0); -- current system time from MTIME
337
  signal cpu_sleep      : std_ulogic; -- CPU is in sleep mode when set
338
  signal bus_keeper_err : std_ulogic; -- bus keeper: bus access timeout
339 11 zero_gravi
 
340 2 zero_gravi
begin
341
 
342 61 zero_gravi
  -- Processor IO/Peripherals Configuration -------------------------------------------------
343
  -- -------------------------------------------------------------------------------------------
344
  assert false report
345
  "NEORV32 PROCESSOR IO Configuration: " &
346
  cond_sel_string_f(IO_GPIO_EN, "GPIO ", "") &
347
  cond_sel_string_f(IO_MTIME_EN, "MTIME ", "") &
348
  cond_sel_string_f(IO_UART0_EN, "UART0 ", "") &
349
  cond_sel_string_f(IO_UART1_EN, "UART1 ", "") &
350
  cond_sel_string_f(IO_SPI_EN, "SPI ", "") &
351
  cond_sel_string_f(IO_TWI_EN, "TWI ", "") &
352
  cond_sel_string_f(boolean(IO_PWM_NUM_CH > 0), "PWM ", "") &
353
  cond_sel_string_f(IO_WDT_EN, "WDT ", "") &
354
  cond_sel_string_f(IO_TRNG_EN, "TRNG ", "") &
355
  cond_sel_string_f(IO_CFS_EN, "CFS ", "") &
356
  cond_sel_string_f(io_slink_en_c, "SLINK ", "") &
357
  cond_sel_string_f(IO_NEOLED_EN, "NEOLED ", "") &
358
  cond_sel_string_f(boolean(XIRQ_NUM_CH > 0), "XIRQ ", "") &
359
  ""
360
  severity note;
361
 
362
 
363 2 zero_gravi
  -- Sanity Checks --------------------------------------------------------------------------
364
  -- -------------------------------------------------------------------------------------------
365 61 zero_gravi
  -- boot configuration --
366
  assert not (INT_BOOTLOADER_EN = true) report "NEORV32 PROCESSOR CONFIG NOTE: Boot configuration: Indirect boot via bootloader (processor-internal BOOTROM)." severity note;
367
  assert not ((INT_BOOTLOADER_EN = false) and (MEM_INT_IMEM_EN = true)) report "NEORV32 PROCESSOR CONFIG NOTE: Boot configuration: Direct boot from memory (processor-internal IMEM)." severity note;
368
  assert not ((INT_BOOTLOADER_EN = false) and (MEM_INT_IMEM_EN = false)) report "NEORV32 PROCESSOR CONFIG NOTE: Boot configuration: Direct boot from memory (processor-external (I)MEM)." severity note;
369
  --
370
  assert not ((MEM_EXT_EN = false) and (MEM_INT_DMEM_EN = false)) report "NEORV32 PROCESSOR CONFIG ERROR! Core cannot fetch data without external memory interface and internal IMEM." severity error;
371
  assert not ((MEM_EXT_EN = false) and (MEM_INT_IMEM_EN = false) and (INT_BOOTLOADER_EN = false)) report "NEORV32 PROCESSOR CONFIG ERROR! Core cannot fetch instructions without external memory interface, internal IMEM and bootloader." severity error;
372
 
373 36 zero_gravi
  -- memory system - size --
374 44 zero_gravi
  assert not ((MEM_INT_DMEM_EN = true) and (is_power_of_two_f(MEM_INT_IMEM_SIZE) = false)) report "NEORV32 PROCESSOR CONFIG WARNING! MEM_INT_IMEM_SIZE should be a power of 2 to allow optimal hardware mapping." severity warning;
375
  assert not ((MEM_INT_IMEM_EN = true) and (is_power_of_two_f(MEM_INT_DMEM_SIZE) = false)) report "NEORV32 PROCESSOR CONFIG WARNING! MEM_INT_DMEM_SIZE should be a power of 2 to allow optimal hardware mapping." severity warning;
376 61 zero_gravi
 
377 29 zero_gravi
  -- memory system - alignment --
378
  assert not (ispace_base_c(1 downto 0) /= "00") report "NEORV32 PROCESSOR CONFIG ERROR! Instruction memory space base address must be 4-byte-aligned." severity error;
379
  assert not (dspace_base_c(1 downto 0) /= "00") report "NEORV32 PROCESSOR CONFIG ERROR! Data memory space base address must be 4-byte-aligned." severity error;
380 44 zero_gravi
  assert not ((ispace_base_c(index_size_f(MEM_INT_IMEM_SIZE)-1 downto 0) /= imem_align_check_c) and (MEM_INT_IMEM_EN = true)) report "NEORV32 PROCESSOR CONFIG ERROR! Instruction memory space base address has to be aligned to IMEM size." severity error;
381
  assert not ((dspace_base_c(index_size_f(MEM_INT_DMEM_SIZE)-1 downto 0) /= dmem_align_check_c) and (MEM_INT_DMEM_EN = true)) report "NEORV32 PROCESSOR CONFIG ERROR! Data memory space base address has to be aligned to DMEM size." severity error;
382 61 zero_gravi
 
383 36 zero_gravi
  -- memory system - layout warning --
384 29 zero_gravi
  assert not (ispace_base_c /= x"00000000") report "NEORV32 PROCESSOR CONFIG WARNING! Non-default base address for instruction address space. Make sure this is sync with the software framework." severity warning;
385
  assert not (dspace_base_c /= x"80000000") report "NEORV32 PROCESSOR CONFIG WARNING! Non-default base address for data address space. Make sure this is sync with the software framework." severity warning;
386 61 zero_gravi
 
387 41 zero_gravi
  -- memory system - the i-cache is intended to accelerate instruction fetch via the external memory interface only --
388 44 zero_gravi
  assert not ((ICACHE_EN = true) and (MEM_EXT_EN = false)) report "NEORV32 PROCESSOR CONFIG NOTE. Implementing i-cache without having the external memory interface implemented. The i-cache is intended to accelerate instruction fetch via the external memory interface." severity note;
389 61 zero_gravi
 
390 59 zero_gravi
  -- on-chip debugger --
391 61 zero_gravi
  assert not (ON_CHIP_DEBUGGER_EN = true) report "NEORV32 PROCESSOR CONFIG NOTE: Implementing on-chip debugger (OCD)." severity note;
392 2 zero_gravi
 
393 59 zero_gravi
 
394 2 zero_gravi
  -- Reset Generator ------------------------------------------------------------------------
395
  -- -------------------------------------------------------------------------------------------
396 60 zero_gravi
  reset_generator: process(rstn_i, clk_i)
397 2 zero_gravi
  begin
398 60 zero_gravi
    if (rstn_i = '0') then
399 2 zero_gravi
      rstn_gen <= (others => '0');
400 60 zero_gravi
      sys_rstn <= '0';
401 2 zero_gravi
    elsif rising_edge(clk_i) then
402 60 zero_gravi
      -- keep internal reset active for at least <rstn_gen'size> clock cycles --
403 2 zero_gravi
      rstn_gen <= rstn_gen(rstn_gen'left-1 downto 0) & '1';
404 60 zero_gravi
      -- system reset: can also be triggered by watchdog and debug module --
405
      sys_rstn <= ext_rstn and wdt_rstn and dci_ndmrstn;
406 2 zero_gravi
    end if;
407
  end process reset_generator;
408
 
409 60 zero_gravi
  -- beautified external reset signal --
410
  ext_rstn <= rstn_gen(rstn_gen'left);
411 2 zero_gravi
 
412
 
413
  -- Clock Generator ------------------------------------------------------------------------
414
  -- -------------------------------------------------------------------------------------------
415
  clock_generator: process(sys_rstn, clk_i)
416
  begin
417
    if (sys_rstn = '0') then
418 60 zero_gravi
      clk_gen_en <= (others => '-');
419 2 zero_gravi
      clk_div    <= (others => '0');
420 60 zero_gravi
      clk_div_ff <= (others => '-');
421
      clk_gen    <= (others => '-');
422 2 zero_gravi
    elsif rising_edge(clk_i) then
423 23 zero_gravi
      -- fresh clocks anyone? --
424 50 zero_gravi
      clk_gen_en(0) <= wdt_cg_en;
425
      clk_gen_en(1) <= uart0_cg_en;
426
      clk_gen_en(2) <= uart1_cg_en;
427
      clk_gen_en(3) <= spi_cg_en;
428
      clk_gen_en(4) <= twi_cg_en;
429
      clk_gen_en(5) <= pwm_cg_en;
430
      clk_gen_en(6) <= cfs_cg_en;
431 61 zero_gravi
      clk_gen_en(7) <= neoled_cg_en;
432 60 zero_gravi
      -- actual clock generator --
433
      if (or_reduce_f(clk_gen_en) = '1') then
434 23 zero_gravi
        clk_div <= std_ulogic_vector(unsigned(clk_div) + 1);
435 2 zero_gravi
      end if;
436 60 zero_gravi
      -- clock enables: rising edge detectors --
437 23 zero_gravi
      clk_div_ff <= clk_div;
438
      clk_gen(clk_div2_c)    <= clk_div(0)  and (not clk_div_ff(0));  -- CLK/2
439
      clk_gen(clk_div4_c)    <= clk_div(1)  and (not clk_div_ff(1));  -- CLK/4
440
      clk_gen(clk_div8_c)    <= clk_div(2)  and (not clk_div_ff(2));  -- CLK/8
441
      clk_gen(clk_div64_c)   <= clk_div(5)  and (not clk_div_ff(5));  -- CLK/64
442
      clk_gen(clk_div128_c)  <= clk_div(6)  and (not clk_div_ff(6));  -- CLK/128
443
      clk_gen(clk_div1024_c) <= clk_div(9)  and (not clk_div_ff(9));  -- CLK/1024
444
      clk_gen(clk_div2048_c) <= clk_div(10) and (not clk_div_ff(10)); -- CLK/2048
445
      clk_gen(clk_div4096_c) <= clk_div(11) and (not clk_div_ff(11)); -- CLK/4096
446
    end if;
447 60 zero_gravi
  end process clock_generator;
448 2 zero_gravi
 
449
 
450 45 zero_gravi
  -- CPU Core -------------------------------------------------------------------------------
451 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
452
  neorv32_cpu_inst: neorv32_cpu
453
  generic map (
454
    -- General --
455 41 zero_gravi
    HW_THREAD_ID                 => HW_THREAD_ID,        -- hardware thread id
456
    CPU_BOOT_ADDR                => cpu_boot_addr_c,     -- cpu boot address
457 59 zero_gravi
    CPU_DEBUG_ADDR               => dm_base_c,           -- cpu debug mode start address
458 2 zero_gravi
    -- RISC-V CPU Extensions --
459 39 zero_gravi
    CPU_EXTENSION_RISCV_A        => CPU_EXTENSION_RISCV_A,        -- implement atomic extension?
460 8 zero_gravi
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,        -- implement compressed extension?
461
    CPU_EXTENSION_RISCV_E        => CPU_EXTENSION_RISCV_E,        -- implement embedded RF extension?
462
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,        -- implement muld/div extension?
463 15 zero_gravi
    CPU_EXTENSION_RISCV_U        => CPU_EXTENSION_RISCV_U,        -- implement user mode extension?
464 55 zero_gravi
    CPU_EXTENSION_RISCV_Zfinx    => CPU_EXTENSION_RISCV_Zfinx,    -- implement 32-bit floating-point extension (using INT reg!)
465 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
466
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
467 61 zero_gravi
    CPU_EXTENSION_RISCV_Zmmul    => CPU_EXTENSION_RISCV_Zmmul,    -- implement multiply-only M sub-extension?
468 59 zero_gravi
    CPU_EXTENSION_RISCV_DEBUG    => ON_CHIP_DEBUGGER_EN,          -- implement CPU debug mode?
469 19 zero_gravi
    -- Extension Options --
470 41 zero_gravi
    FAST_MUL_EN                  => FAST_MUL_EN,         -- use DSPs for M extension's multiplier
471
    FAST_SHIFT_EN                => FAST_SHIFT_EN,       -- use barrel shifter for shift operations
472 56 zero_gravi
    CPU_CNT_WIDTH                => CPU_CNT_WIDTH,       -- total width of CPU cycle and instret counters (0..64)
473 62 zero_gravi
    CPU_IPB_ENTRIES              => CPU_IPB_ENTRIES,     -- entries is instruction prefetch buffer, has to be a power of 2
474 15 zero_gravi
    -- Physical Memory Protection (PMP) --
475 42 zero_gravi
    PMP_NUM_REGIONS              => PMP_NUM_REGIONS,     -- number of regions (0..64)
476
    PMP_MIN_GRANULARITY          => PMP_MIN_GRANULARITY, -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
477
    -- Hardware Performance Monitors (HPM) --
478 56 zero_gravi
    HPM_NUM_CNTS                 => HPM_NUM_CNTS,        -- number of implemented HPM counters (0..29)
479 60 zero_gravi
    HPM_CNT_WIDTH                => HPM_CNT_WIDTH        -- total size of HPM counters (0..64)
480 2 zero_gravi
  )
481
  port map (
482
    -- global control --
483 12 zero_gravi
    clk_i          => clk_i,        -- global clock, rising edge
484
    rstn_i         => sys_rstn,     -- global reset, low-active, async
485 47 zero_gravi
    sleep_o        => cpu_sleep,    -- cpu is in sleep mode when set
486 12 zero_gravi
    -- instruction bus interface --
487
    i_bus_addr_o   => cpu_i.addr,   -- bus access address
488
    i_bus_rdata_i  => cpu_i.rdata,  -- bus read data
489
    i_bus_wdata_o  => cpu_i.wdata,  -- bus write data
490
    i_bus_ben_o    => cpu_i.ben,    -- byte enable
491
    i_bus_we_o     => cpu_i.we,     -- write enable
492
    i_bus_re_o     => cpu_i.re,     -- read enable
493 57 zero_gravi
    i_bus_lock_o   => cpu_i.lock,   -- exclusive access request
494 12 zero_gravi
    i_bus_ack_i    => cpu_i.ack,    -- bus transfer acknowledge
495
    i_bus_err_i    => cpu_i.err,    -- bus transfer error
496
    i_bus_fence_o  => cpu_i.fence,  -- executed FENCEI operation
497 35 zero_gravi
    i_bus_priv_o   => cpu_i.priv,   -- privilege level
498 12 zero_gravi
    -- data bus interface --
499
    d_bus_addr_o   => cpu_d.addr,   -- bus access address
500
    d_bus_rdata_i  => cpu_d.rdata,  -- bus read data
501
    d_bus_wdata_o  => cpu_d.wdata,  -- bus write data
502
    d_bus_ben_o    => cpu_d.ben,    -- byte enable
503
    d_bus_we_o     => cpu_d.we,     -- write enable
504
    d_bus_re_o     => cpu_d.re,     -- read enable
505 57 zero_gravi
    d_bus_lock_o   => cpu_d.lock,   -- exclusive access request
506 12 zero_gravi
    d_bus_ack_i    => cpu_d.ack,    -- bus transfer acknowledge
507
    d_bus_err_i    => cpu_d.err,    -- bus transfer error
508
    d_bus_fence_o  => cpu_d.fence,  -- executed FENCE operation
509 35 zero_gravi
    d_bus_priv_o   => cpu_d.priv,   -- privilege level
510 11 zero_gravi
    -- system time input from MTIME --
511 12 zero_gravi
    time_i         => mtime_time,   -- current system time
512 58 zero_gravi
    -- non-maskable interrupt --
513 62 zero_gravi
    nm_irq_i       => x_nm_irq,     -- NMI
514
    msw_irq_i      => x_msw_irq,    -- machine software interrupt
515
    mext_irq_i     => x_mext_irq,   -- machine external interrupt request
516 14 zero_gravi
    mtime_irq_i    => mtime_irq,    -- machine timer interrupt
517
    -- fast interrupts (custom) --
518 47 zero_gravi
    firq_i         => fast_irq,     -- fast interrupt trigger
519 59 zero_gravi
    -- debug mode (halt) request --
520
    db_halt_req_i  => dci_halt_req
521 2 zero_gravi
  );
522
 
523 36 zero_gravi
  -- misc --
524 57 zero_gravi
  cpu_i.src <= '1'; -- initialized but unused
525
  cpu_d.src <= '0'; -- initialized but unused
526 36 zero_gravi
 
527 14 zero_gravi
  -- advanced memory control --
528
  fence_o  <= cpu_d.fence; -- indicates an executed FENCE operation
529
  fencei_o <= cpu_i.fence; -- indicates an executed FENCEI operation
530 2 zero_gravi
 
531 62 zero_gravi
  -- external machine-level (CPU) interrupts --
532
  nm_irq_ff    <= nm_irq_i    when rising_edge(clk_i);
533
  mtime_irq_ff <= mtime_irq_i when rising_edge(clk_i);
534
  msw_irq_ff   <= msw_irq_i   when rising_edge(clk_i);
535
  mext_irq_ff  <= mext_irq_i  when rising_edge(clk_i);
536
  -- rising-edge detector --
537
  x_nm_irq    <= nm_irq_i    and (not nm_irq_ff);
538
  x_mtime_irq <= mtime_irq_i and (not mtime_irq_ff);
539
  x_msw_irq   <= msw_irq_i   and (not msw_irq_ff);
540
  x_mext_irq  <= mext_irq_i  and (not mext_irq_ff);
541
 
542 61 zero_gravi
  -- fast interrupts --
543 50 zero_gravi
  fast_irq(00) <= wdt_irq;       -- HIGHEST PRIORITY - watchdog timeout
544
  fast_irq(01) <= cfs_irq;       -- custom functions subsystem
545
  fast_irq(02) <= uart0_rxd_irq; -- primary UART (UART0) data received
546
  fast_irq(03) <= uart0_txd_irq; -- primary UART (UART0) sending done
547
  fast_irq(04) <= uart1_rxd_irq; -- secondary UART (UART1) data received
548
  fast_irq(05) <= uart1_txd_irq; -- secondary UART (UART1) sending done
549
  fast_irq(06) <= spi_irq;       -- SPI transmission done
550
  fast_irq(07) <= twi_irq;       -- TWI transmission done
551 61 zero_gravi
  fast_irq(08) <= xirq_irq;      -- external interrupt controller
552 52 zero_gravi
  fast_irq(09) <= neoled_irq;    -- NEOLED buffer free
553 61 zero_gravi
  fast_irq(10) <= slink_rx_irq;  -- SLINK data received
554
  fast_irq(11) <= slink_tx_irq;  -- SLINK data send
555
  --
556 62 zero_gravi
  fast_irq(12) <= '0'; -- reserved
557
  fast_irq(13) <= '0'; -- reserved
558
  fast_irq(14) <= '0'; -- reserved
559
  fast_irq(15) <= '0'; -- reserved
560 14 zero_gravi
 
561
 
562 41 zero_gravi
  -- CPU Instruction Cache ------------------------------------------------------------------
563
  -- -------------------------------------------------------------------------------------------
564
  neorv32_icache_inst_true:
565 44 zero_gravi
  if (ICACHE_EN = true) generate
566 45 zero_gravi
    neorv32_icache_inst: neorv32_icache
567 41 zero_gravi
    generic map (
568 47 zero_gravi
      ICACHE_NUM_BLOCKS => ICACHE_NUM_BLOCKS,   -- number of blocks (min 2), has to be a power of 2
569
      ICACHE_BLOCK_SIZE => ICACHE_BLOCK_SIZE,   -- block size in bytes (min 4), has to be a power of 2
570
      ICACHE_NUM_SETS   => ICACHE_ASSOCIATIVITY -- associativity / number of sets (1=direct_mapped), has to be a power of 2
571 41 zero_gravi
    )
572
    port map (
573
      -- global control --
574
      clk_i         => clk_i,          -- global clock, rising edge
575
      rstn_i        => sys_rstn,       -- global reset, low-active, async
576
      clear_i       => cpu_i.fence,    -- cache clear
577
      -- host controller interface --
578
      host_addr_i   => cpu_i.addr,     -- bus access address
579
      host_rdata_o  => cpu_i.rdata,    -- bus read data
580
      host_wdata_i  => cpu_i.wdata,    -- bus write data
581
      host_ben_i    => cpu_i.ben,      -- byte enable
582
      host_we_i     => cpu_i.we,       -- write enable
583
      host_re_i     => cpu_i.re,       -- read enable
584
      host_ack_o    => cpu_i.ack,      -- bus transfer acknowledge
585
      host_err_o    => cpu_i.err,      -- bus transfer error
586
      -- peripheral bus interface --
587
      bus_addr_o    => i_cache.addr,   -- bus access address
588
      bus_rdata_i   => i_cache.rdata,  -- bus read data
589
      bus_wdata_o   => i_cache.wdata,  -- bus write data
590
      bus_ben_o     => i_cache.ben,    -- byte enable
591
      bus_we_o      => i_cache.we,     -- write enable
592
      bus_re_o      => i_cache.re,     -- read enable
593
      bus_ack_i     => i_cache.ack,    -- bus transfer acknowledge
594
      bus_err_i     => i_cache.err     -- bus transfer error
595
    );
596
  end generate;
597
 
598 57 zero_gravi
  -- TODO: do not use LOCKED instruction fetch --
599
  i_cache.lock <= '0';
600
 
601 41 zero_gravi
  neorv32_icache_inst_false:
602 44 zero_gravi
  if (ICACHE_EN = false) generate
603 57 zero_gravi
    i_cache.addr  <= cpu_i.addr;
604
    cpu_i.rdata   <= i_cache.rdata;
605
    i_cache.wdata <= cpu_i.wdata;
606
    i_cache.ben   <= cpu_i.ben;
607
    i_cache.we    <= cpu_i.we;
608
    i_cache.re    <= cpu_i.re;
609
    cpu_i.ack     <= i_cache.ack;
610
    cpu_i.err     <= i_cache.err;
611 41 zero_gravi
  end generate;
612
 
613
 
614 45 zero_gravi
  -- CPU Bus Switch -------------------------------------------------------------------------
615 12 zero_gravi
  -- -------------------------------------------------------------------------------------------
616
  neorv32_busswitch_inst: neorv32_busswitch
617
  generic map (
618
    PORT_CA_READ_ONLY => false, -- set if controller port A is read-only
619
    PORT_CB_READ_ONLY => true   -- set if controller port B is read-only
620
  )
621
  port map (
622
    -- global control --
623 41 zero_gravi
    clk_i           => clk_i,          -- global clock, rising edge
624
    rstn_i          => sys_rstn,       -- global reset, low-active, async
625 12 zero_gravi
    -- controller interface a --
626 41 zero_gravi
    ca_bus_addr_i   => cpu_d.addr,     -- bus access address
627
    ca_bus_rdata_o  => cpu_d.rdata,    -- bus read data
628
    ca_bus_wdata_i  => cpu_d.wdata,    -- bus write data
629
    ca_bus_ben_i    => cpu_d.ben,      -- byte enable
630
    ca_bus_we_i     => cpu_d.we,       -- write enable
631
    ca_bus_re_i     => cpu_d.re,       -- read enable
632 57 zero_gravi
    ca_bus_lock_i   => cpu_d.lock,     -- exclusive access request
633 41 zero_gravi
    ca_bus_ack_o    => cpu_d.ack,      -- bus transfer acknowledge
634
    ca_bus_err_o    => cpu_d.err,      -- bus transfer error
635 12 zero_gravi
    -- controller interface b --
636 41 zero_gravi
    cb_bus_addr_i   => i_cache.addr,   -- bus access address
637
    cb_bus_rdata_o  => i_cache.rdata,  -- bus read data
638
    cb_bus_wdata_i  => i_cache.wdata,  -- bus write data
639
    cb_bus_ben_i    => i_cache.ben,    -- byte enable
640
    cb_bus_we_i     => i_cache.we,     -- write enable
641
    cb_bus_re_i     => i_cache.re,     -- read enable
642 57 zero_gravi
    cb_bus_lock_i   => i_cache.lock,   -- exclusive access request
643 41 zero_gravi
    cb_bus_ack_o    => i_cache.ack,    -- bus transfer acknowledge
644
    cb_bus_err_o    => i_cache.err,    -- bus transfer error
645 12 zero_gravi
    -- peripheral bus --
646 41 zero_gravi
    p_bus_src_o     => p_bus.src,      -- access source: 0 = A (data), 1 = B (instructions)
647
    p_bus_addr_o    => p_bus.addr,     -- bus access address
648
    p_bus_rdata_i   => p_bus.rdata,    -- bus read data
649
    p_bus_wdata_o   => p_bus.wdata,    -- bus write data
650
    p_bus_ben_o     => p_bus.ben,      -- byte enable
651
    p_bus_we_o      => p_bus.we,       -- write enable
652
    p_bus_re_o      => p_bus.re,       -- read enable
653 57 zero_gravi
    p_bus_lock_o    => p_bus.lock,     -- exclusive access request
654 41 zero_gravi
    p_bus_ack_i     => p_bus.ack,      -- bus transfer acknowledge
655
    p_bus_err_i     => p_bus.err       -- bus transfer error
656 12 zero_gravi
  );
657 2 zero_gravi
 
658 60 zero_gravi
  -- current CPU privilege level --
659
  p_bus.priv <= cpu_i.priv; -- note: cpu_i.priv == cpu_d.priv
660 53 zero_gravi
 
661 60 zero_gravi
  -- fence operation (unused) --
662
  p_bus.fence <= cpu_d.fence or cpu_i.fence;
663 2 zero_gravi
 
664 60 zero_gravi
  -- bus response --
665
  bus_response: process(resp_bus, bus_keeper_err)
666
    variable rdata_v : std_ulogic_vector(data_width_c-1 downto 0);
667
    variable ack_v   : std_ulogic;
668
    variable err_v   : std_ulogic;
669
  begin
670
    rdata_v := (others => '0');
671
    ack_v   := '0';
672
    err_v   := '0';
673
    for i in resp_bus'range loop
674
      rdata_v := rdata_v or resp_bus(i).rdata; -- read data
675
      ack_v   := ack_v   or resp_bus(i).ack;   -- acknowledge
676
      err_v   := err_v   or resp_bus(i).err;   -- error
677
    end loop; -- i
678
    p_bus.rdata <= rdata_v; -- processor bus: CPU transfer data input
679
    p_bus.ack   <= ack_v;   -- processor bus: CPU transfer ACK input
680
    p_bus.err   <= err_v or bus_keeper_err; -- processor bus: CPU transfer data bus error input
681
  end process;
682 12 zero_gravi
 
683
 
684 59 zero_gravi
  -- Processor-Internal Bus Keeper (BUS_KEEPER) ---------------------------------------------
685 57 zero_gravi
  -- -------------------------------------------------------------------------------------------
686
  neorv32_bus_keeper_inst: neorv32_bus_keeper
687
  generic map (
688 59 zero_gravi
    -- External memory interface --
689
    MEM_EXT_EN        => MEM_EXT_EN,        -- implement external memory bus interface?
690 57 zero_gravi
    -- Internal instruction memory --
691
    MEM_INT_IMEM_EN   => MEM_INT_IMEM_EN,   -- implement processor-internal instruction memory
692
    MEM_INT_IMEM_SIZE => MEM_INT_IMEM_SIZE, -- size of processor-internal instruction memory in bytes
693
    -- Internal data memory --
694
    MEM_INT_DMEM_EN   => MEM_INT_DMEM_EN,   -- implement processor-internal data memory
695
    MEM_INT_DMEM_SIZE => MEM_INT_DMEM_SIZE  -- size of processor-internal data memory in bytes
696
  )
697
  port map (
698
    -- host access --
699
    clk_i  => clk_i,         -- global clock line
700
    rstn_i => sys_rstn,      -- global reset line, low-active
701
    addr_i => p_bus.addr,    -- address
702
    rden_i => p_bus.re,      -- read enable
703
    wren_i => p_bus.we,      -- write enable
704
    ack_i  => p_bus.ack,     -- transfer acknowledge from bus system
705
    err_i  => p_bus.err,     -- transfer error from bus system
706
    err_o  => bus_keeper_err -- bus error
707
  );
708 36 zero_gravi
 
709 57 zero_gravi
 
710 2 zero_gravi
  -- Processor-Internal Instruction Memory (IMEM) -------------------------------------------
711
  -- -------------------------------------------------------------------------------------------
712
  neorv32_int_imem_inst_true:
713 44 zero_gravi
  if (MEM_INT_IMEM_EN = true) generate
714 2 zero_gravi
    neorv32_int_imem_inst: neorv32_imem
715
    generic map (
716 61 zero_gravi
      IMEM_BASE    => imem_base_c,          -- memory base address
717
      IMEM_SIZE    => MEM_INT_IMEM_SIZE,    -- processor-internal instruction memory size in bytes
718
      IMEM_AS_IROM => not INT_BOOTLOADER_EN -- implement IMEM as pre-initialized read-only memory?
719 2 zero_gravi
    )
720
    port map (
721 60 zero_gravi
      clk_i  => clk_i,                     -- global clock line
722
      rden_i => p_bus.re,                  -- read enable
723
      wren_i => p_bus.we,                  -- write enable
724
      ben_i  => p_bus.ben,                 -- byte write enable
725
      addr_i => p_bus.addr,                -- address
726
      data_i => p_bus.wdata,               -- data in
727
      data_o => resp_bus(RESP_IMEM).rdata, -- data out
728
      ack_o  => resp_bus(RESP_IMEM).ack    -- transfer acknowledge
729 2 zero_gravi
    );
730 60 zero_gravi
    resp_bus(RESP_IMEM).err <= '0'; -- no access error possible
731 2 zero_gravi
  end generate;
732
 
733
  neorv32_int_imem_inst_false:
734 44 zero_gravi
  if (MEM_INT_IMEM_EN = false) generate
735 60 zero_gravi
    resp_bus(RESP_IMEM) <= resp_bus_entry_terminate_c;
736 2 zero_gravi
  end generate;
737
 
738
 
739
  -- Processor-Internal Data Memory (DMEM) --------------------------------------------------
740
  -- -------------------------------------------------------------------------------------------
741
  neorv32_int_dmem_inst_true:
742 44 zero_gravi
  if (MEM_INT_DMEM_EN = true) generate
743 2 zero_gravi
    neorv32_int_dmem_inst: neorv32_dmem
744
    generic map (
745 23 zero_gravi
      DMEM_BASE => dmem_base_c,      -- memory base address
746 2 zero_gravi
      DMEM_SIZE => MEM_INT_DMEM_SIZE -- processor-internal data memory size in bytes
747
    )
748
    port map (
749 60 zero_gravi
      clk_i  => clk_i,                     -- global clock line
750
      rden_i => p_bus.re,                  -- read enable
751
      wren_i => p_bus.we,                  -- write enable
752
      ben_i  => p_bus.ben,                 -- byte write enable
753
      addr_i => p_bus.addr,                -- address
754
      data_i => p_bus.wdata,               -- data in
755
      data_o => resp_bus(RESP_DMEM).rdata, -- data out
756
      ack_o  => resp_bus(RESP_DMEM).ack    -- transfer acknowledge
757 2 zero_gravi
    );
758 60 zero_gravi
    resp_bus(RESP_DMEM).err <= '0'; -- no access error possible
759 2 zero_gravi
  end generate;
760
 
761
  neorv32_int_dmem_inst_false:
762 44 zero_gravi
  if (MEM_INT_DMEM_EN = false) generate
763 60 zero_gravi
    resp_bus(RESP_DMEM) <= resp_bus_entry_terminate_c;
764 2 zero_gravi
  end generate;
765
 
766
 
767
  -- Processor-Internal Bootloader ROM (BOOTROM) --------------------------------------------
768
  -- -------------------------------------------------------------------------------------------
769
  neorv32_boot_rom_inst_true:
770 61 zero_gravi
  if (INT_BOOTLOADER_EN = true) generate
771 2 zero_gravi
    neorv32_boot_rom_inst: neorv32_boot_rom
772 23 zero_gravi
    generic map (
773 61 zero_gravi
      BOOTROM_BASE => boot_rom_base_c -- boot ROM base address
774 23 zero_gravi
    )
775 2 zero_gravi
    port map (
776 60 zero_gravi
      clk_i  => clk_i,                        -- global clock line
777
      rden_i => p_bus.re,                     -- read enable
778
      addr_i => p_bus.addr,                   -- address
779
      data_o => resp_bus(RESP_BOOTROM).rdata, -- data out
780
      ack_o  => resp_bus(RESP_BOOTROM).ack    -- transfer acknowledge
781 2 zero_gravi
    );
782 60 zero_gravi
    resp_bus(RESP_BOOTROM).err <= '0'; -- no access error possible
783 2 zero_gravi
  end generate;
784
 
785
  neorv32_boot_rom_inst_false:
786 61 zero_gravi
  if (INT_BOOTLOADER_EN = false) generate
787 60 zero_gravi
    resp_bus(RESP_BOOTROM) <= resp_bus_entry_terminate_c;
788 2 zero_gravi
  end generate;
789
 
790
 
791
  -- External Wishbone Gateway (WISHBONE) ---------------------------------------------------
792
  -- -------------------------------------------------------------------------------------------
793
  neorv32_wishbone_inst_true:
794 44 zero_gravi
  if (MEM_EXT_EN = true) generate
795 2 zero_gravi
    neorv32_wishbone_inst: neorv32_wishbone
796
    generic map (
797 23 zero_gravi
      -- Internal instruction memory --
798 62 zero_gravi
      MEM_INT_IMEM_EN   => MEM_INT_IMEM_EN,    -- implement processor-internal instruction memory
799
      MEM_INT_IMEM_SIZE => MEM_INT_IMEM_SIZE,  -- size of processor-internal instruction memory in bytes
800 23 zero_gravi
      -- Internal data memory --
801 62 zero_gravi
      MEM_INT_DMEM_EN   => MEM_INT_DMEM_EN,    -- implement processor-internal data memory
802
      MEM_INT_DMEM_SIZE => MEM_INT_DMEM_SIZE,  -- size of processor-internal data memory in bytes
803
      -- Interface Configuration --
804
      BUS_TIMEOUT       => MEM_EXT_TIMEOUT,    -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
805
      PIPE_MODE         => MEM_EXT_PIPE_MODE,  -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
806
      BIG_ENDIAN        => MEM_EXT_BIG_ENDIAN, -- byte order: true=big-endian, false=little-endian
807
      ASYNC_RX          => MEM_EXT_ASYNC_RX    -- use register buffer for RX data when false
808 2 zero_gravi
    )
809
    port map (
810
      -- global control --
811 60 zero_gravi
      clk_i     => clk_i,                         -- global clock line
812
      rstn_i    => sys_rstn,                      -- global reset line, low-active
813 2 zero_gravi
      -- host access --
814 60 zero_gravi
      src_i     => p_bus.src,                     -- access type (0: data, 1:instruction)
815
      addr_i    => p_bus.addr,                    -- address
816
      rden_i    => p_bus.re,                      -- read enable
817
      wren_i    => p_bus.we,                      -- write enable
818
      ben_i     => p_bus.ben,                     -- byte write enable
819
      data_i    => p_bus.wdata,                   -- data in
820
      data_o    => resp_bus(RESP_WISHBONE).rdata, -- data out
821
      lock_i    => p_bus.lock,                    -- exclusive access request
822
      ack_o     => resp_bus(RESP_WISHBONE).ack,   -- transfer acknowledge
823
      err_o     => resp_bus(RESP_WISHBONE).err,   -- transfer error
824
      priv_i    => p_bus.priv,                    -- current CPU privilege level
825 2 zero_gravi
      -- wishbone interface --
826 60 zero_gravi
      wb_tag_o  => wb_tag_o,                      -- request tag
827
      wb_adr_o  => wb_adr_o,                      -- address
828
      wb_dat_i  => wb_dat_i,                      -- read data
829
      wb_dat_o  => wb_dat_o,                      -- write data
830
      wb_we_o   => wb_we_o,                       -- read/write
831
      wb_sel_o  => wb_sel_o,                      -- byte enable
832
      wb_stb_o  => wb_stb_o,                      -- strobe
833
      wb_cyc_o  => wb_cyc_o,                      -- valid cycle
834
      wb_lock_o => wb_lock_o,                     -- exclusive access request
835
      wb_ack_i  => wb_ack_i,                      -- transfer acknowledge
836
      wb_err_i  => wb_err_i                       -- transfer error
837 2 zero_gravi
    );
838
  end generate;
839
 
840
  neorv32_wishbone_inst_false:
841 44 zero_gravi
  if (MEM_EXT_EN = false) generate
842 60 zero_gravi
    resp_bus(RESP_WISHBONE) <= resp_bus_entry_terminate_c;
843 2 zero_gravi
    --
844 60 zero_gravi
    wb_adr_o  <= (others => '0');
845
    wb_dat_o  <= (others => '0');
846
    wb_we_o   <= '0';
847
    wb_sel_o  <= (others => '0');
848
    wb_stb_o  <= '0';
849
    wb_cyc_o  <= '0';
850
    wb_lock_o <= '0';
851
    wb_tag_o  <= (others => '0');
852 2 zero_gravi
  end generate;
853
 
854
 
855
  -- IO Access? -----------------------------------------------------------------------------
856
  -- -------------------------------------------------------------------------------------------
857 12 zero_gravi
  io_acc  <= '1' when (p_bus.addr(data_width_c-1 downto index_size_f(io_size_c)) = io_base_c(data_width_c-1 downto index_size_f(io_size_c))) else '0';
858 40 zero_gravi
  io_rden <= io_acc and p_bus.re and (not p_bus.src); -- PMA: no_execute for IO region
859 47 zero_gravi
  -- the default NEORV32 peripheral/IO devices in the IO area can only be written in word mode (reduces HW complexity)
860 60 zero_gravi
  io_wren <= io_acc and p_bus.we and and_reduce_f(p_bus.ben) and (not p_bus.src); -- PMA: write32 only, no_execute for IO region
861 2 zero_gravi
 
862
 
863 47 zero_gravi
  -- Custom Functions Subsystem (CFS) -------------------------------------------------------
864
  -- -------------------------------------------------------------------------------------------
865
  neorv32_cfs_inst_true:
866
  if (IO_CFS_EN = true) generate
867
    neorv32_cfs_inst: neorv32_cfs
868
    generic map (
869 61 zero_gravi
      CFS_CONFIG   => IO_CFS_CONFIG,  -- custom CFS configuration generic
870 52 zero_gravi
      CFS_IN_SIZE  => IO_CFS_IN_SIZE, -- size of CFS input conduit in bits
871
      CFS_OUT_SIZE => IO_CFS_OUT_SIZE -- size of CFS output conduit in bits
872 47 zero_gravi
    )
873
    port map (
874
      -- host access --
875 60 zero_gravi
      clk_i       => clk_i,                    -- global clock line
876
      rstn_i      => sys_rstn,                 -- global reset line, low-active, use as async
877
      addr_i      => p_bus.addr,               -- address
878
      rden_i      => io_rden,                  -- read enable
879
      wren_i      => io_wren,                  -- byte write enable
880
      data_i      => p_bus.wdata,              -- data in
881
      data_o      => resp_bus(RESP_CFS).rdata, -- data out
882
      ack_o       => resp_bus(RESP_CFS).ack,   -- transfer acknowledge
883 47 zero_gravi
      -- clock generator --
884 60 zero_gravi
      clkgen_en_o => cfs_cg_en,                -- enable clock generator
885
      clkgen_i    => clk_gen,                  -- "clock" inputs
886 47 zero_gravi
      -- CPU state --
887 60 zero_gravi
      sleep_i     => cpu_sleep,                -- set if cpu is in sleep mode
888 47 zero_gravi
      -- interrupt --
889 60 zero_gravi
      irq_o       => cfs_irq,                  -- interrupt request
890 47 zero_gravi
      -- custom io (conduit) --
891 60 zero_gravi
      cfs_in_i    => cfs_in_i,                 -- custom inputs
892
      cfs_out_o   => cfs_out_o                 -- custom outputs
893 47 zero_gravi
    );
894 60 zero_gravi
    resp_bus(RESP_CFS).err <= '0'; -- no access error possible
895 47 zero_gravi
  end generate;
896
 
897
  neorv32_cfs_inst_false:
898
  if (IO_CFS_EN = false) generate
899 60 zero_gravi
    resp_bus(RESP_CFS) <= resp_bus_entry_terminate_c;
900 47 zero_gravi
    cfs_cg_en <= '0';
901
    cfs_irq   <= '0';
902
    cfs_out_o <= (others => '0');
903
  end generate;
904
 
905
 
906 2 zero_gravi
  -- General Purpose Input/Output Port (GPIO) -----------------------------------------------
907
  -- -------------------------------------------------------------------------------------------
908
  neorv32_gpio_inst_true:
909 44 zero_gravi
  if (IO_GPIO_EN = true) generate
910 2 zero_gravi
    neorv32_gpio_inst: neorv32_gpio
911
    port map (
912
      -- host access --
913 60 zero_gravi
      clk_i  => clk_i,                     -- global clock line
914
      addr_i => p_bus.addr,                -- address
915
      rden_i => io_rden,                   -- read enable
916
      wren_i => io_wren,                   -- write enable
917
      data_i => p_bus.wdata,               -- data in
918
      data_o => resp_bus(RESP_GPIO).rdata, -- data out
919
      ack_o  => resp_bus(RESP_GPIO).ack,   -- transfer acknowledge
920 2 zero_gravi
      -- parallel io --
921
      gpio_o => gpio_o,
922 61 zero_gravi
      gpio_i => gpio_i
923 2 zero_gravi
    );
924 60 zero_gravi
    resp_bus(RESP_GPIO).err <= '0'; -- no access error possible
925 2 zero_gravi
  end generate;
926
 
927
  neorv32_gpio_inst_false:
928 44 zero_gravi
  if (IO_GPIO_EN = false) generate
929 60 zero_gravi
    resp_bus(RESP_GPIO) <= resp_bus_entry_terminate_c;
930 61 zero_gravi
    gpio_o <= (others => '0');
931 2 zero_gravi
  end generate;
932
 
933
 
934
  -- Watch Dog Timer (WDT) ------------------------------------------------------------------
935
  -- -------------------------------------------------------------------------------------------
936
  neorv32_wdt_inst_true:
937 44 zero_gravi
  if (IO_WDT_EN = true) generate
938 2 zero_gravi
    neorv32_wdt_inst: neorv32_wdt
939
    port map (
940
      -- host access --
941 60 zero_gravi
      clk_i       => clk_i,                    -- global clock line
942
      rstn_i      => ext_rstn,                 -- global reset line, low-active
943
      rden_i      => io_rden,                  -- read enable
944
      wren_i      => io_wren,                  -- write enable
945
      addr_i      => p_bus.addr,               -- address
946
      data_i      => p_bus.wdata,              -- data in
947
      data_o      => resp_bus(RESP_WDT).rdata, -- data out
948
      ack_o       => resp_bus(RESP_WDT).ack,   -- transfer acknowledge
949 2 zero_gravi
      -- clock generator --
950 60 zero_gravi
      clkgen_en_o => wdt_cg_en,                -- enable clock generator
951 2 zero_gravi
      clkgen_i    => clk_gen,
952
      -- timeout event --
953 60 zero_gravi
      irq_o       => wdt_irq,                  -- timeout IRQ
954
      rstn_o      => wdt_rstn                  -- timeout reset, low_active, use it as async!
955 2 zero_gravi
    );
956 60 zero_gravi
    resp_bus(RESP_WDT).err <= '0'; -- no access error possible
957 2 zero_gravi
  end generate;
958
 
959
  neorv32_wdt_inst_false:
960 44 zero_gravi
  if (IO_WDT_EN = false) generate
961 60 zero_gravi
    resp_bus(RESP_WDT) <= resp_bus_entry_terminate_c;
962 2 zero_gravi
    wdt_irq   <= '0';
963
    wdt_rstn  <= '1';
964
    wdt_cg_en <= '0';
965
  end generate;
966
 
967
 
968
  -- Machine System Timer (MTIME) -----------------------------------------------------------
969
  -- -------------------------------------------------------------------------------------------
970
  neorv32_mtime_inst_true:
971 44 zero_gravi
  if (IO_MTIME_EN = true) generate
972 2 zero_gravi
    neorv32_mtime_inst: neorv32_mtime
973
    port map (
974
      -- host access --
975 60 zero_gravi
      clk_i  => clk_i,                      -- global clock line
976
      addr_i => p_bus.addr,                 -- address
977
      rden_i => io_rden,                    -- read enable
978
      wren_i => io_wren,                    -- write enable
979
      data_i => p_bus.wdata,                -- data in
980
      data_o => resp_bus(RESP_MTIME).rdata, -- data out
981
      ack_o  => resp_bus(RESP_MTIME).ack,   -- transfer acknowledge
982 11 zero_gravi
      -- time output for CPU --
983 60 zero_gravi
      time_o => mtime_time,                 -- current system time
984 2 zero_gravi
      -- interrupt --
985 60 zero_gravi
      irq_o  => mtime_irq                   -- interrupt request
986 2 zero_gravi
    );
987 60 zero_gravi
    resp_bus(RESP_MTIME).err <= '0'; -- no access error possible
988 2 zero_gravi
  end generate;
989
 
990
  neorv32_mtime_inst_false:
991 44 zero_gravi
  if (IO_MTIME_EN = false) generate
992 60 zero_gravi
    resp_bus(RESP_MTIME) <= resp_bus_entry_terminate_c;
993
    mtime_time <= mtime_i; -- use external machine timer time signal
994 62 zero_gravi
    mtime_irq  <= x_mtime_irq; -- use external machine timer interrupt
995 2 zero_gravi
  end generate;
996
 
997
 
998 60 zero_gravi
  -- system time output LO --
999
  mtime_sync: process(clk_i)
1000
  begin
1001
    if rising_edge(clk_i) then
1002
      -- buffer low word one clock cycle to compensate for MTIME's 1-cycle delay
1003
      -- when overflowing from low-word to high-word -> only relevant for processor-external devices
1004
      -- processor-internal devices (= the CPU) do not care about this delay offset as 64-bit MTIME.TIME
1005
      -- cannot be accessed within a single cycle
1006
      if (IO_MTIME_EN = true) then
1007
        mtime_o(31 downto 0) <= mtime_time(31 downto 0);
1008
      else
1009
        mtime_o(31 downto 0) <= (others => '0');
1010
      end if;
1011
    end if;
1012
  end process mtime_sync;
1013 59 zero_gravi
 
1014 60 zero_gravi
  -- system time output HI --
1015
  mtime_o(63 downto 32) <= mtime_time(63 downto 32) when (IO_MTIME_EN = true) else (others => '0');
1016
 
1017
 
1018 51 zero_gravi
  -- Primary Universal Asynchronous Receiver/Transmitter (UART0) ----------------------------
1019 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1020 50 zero_gravi
  neorv32_uart0_inst_true:
1021
  if (IO_UART0_EN = true) generate
1022
    neorv32_uart0_inst: neorv32_uart
1023
    generic map (
1024
      UART_PRIMARY => true -- true = primary UART (UART0), false = secondary UART (UART1)
1025
    )
1026 2 zero_gravi
    port map (
1027
      -- host access --
1028 60 zero_gravi
      clk_i       => clk_i,                      -- global clock line
1029
      addr_i      => p_bus.addr,                 -- address
1030
      rden_i      => io_rden,                    -- read enable
1031
      wren_i      => io_wren,                    -- write enable
1032
      data_i      => p_bus.wdata,                -- data in
1033
      data_o      => resp_bus(RESP_UART0).rdata, -- data out
1034
      ack_o       => resp_bus(RESP_UART0).ack,   -- transfer acknowledge
1035 2 zero_gravi
      -- clock generator --
1036 60 zero_gravi
      clkgen_en_o => uart0_cg_en,                -- enable clock generator
1037 2 zero_gravi
      clkgen_i    => clk_gen,
1038
      -- com lines --
1039 50 zero_gravi
      uart_txd_o  => uart0_txd_o,
1040
      uart_rxd_i  => uart0_rxd_i,
1041 51 zero_gravi
      -- hardware flow control --
1042 60 zero_gravi
      uart_rts_o  => uart0_rts_o,                -- UART.RX ready to receive ("RTR"), low-active, optional
1043
      uart_cts_i  => uart0_cts_i,                -- UART.TX allowed to transmit, low-active, optional
1044 2 zero_gravi
      -- interrupts --
1045 60 zero_gravi
      irq_rxd_o   => uart0_rxd_irq,              -- uart data received interrupt
1046
      irq_txd_o   => uart0_txd_irq               -- uart transmission done interrupt
1047 2 zero_gravi
    );
1048 60 zero_gravi
    resp_bus(RESP_UART0).err <= '0'; -- no access error possible
1049 2 zero_gravi
  end generate;
1050
 
1051 50 zero_gravi
  neorv32_uart0_inst_false:
1052
  if (IO_UART0_EN = false) generate
1053 60 zero_gravi
    resp_bus(RESP_UART0) <= resp_bus_entry_terminate_c;
1054 50 zero_gravi
    uart0_txd_o   <= '0';
1055 51 zero_gravi
    uart0_rts_o   <= '0';
1056 50 zero_gravi
    uart0_cg_en   <= '0';
1057
    uart0_rxd_irq <= '0';
1058
    uart0_txd_irq <= '0';
1059 2 zero_gravi
  end generate;
1060
 
1061
 
1062 51 zero_gravi
  -- Secondary Universal Asynchronous Receiver/Transmitter (UART1) --------------------------
1063 50 zero_gravi
  -- -------------------------------------------------------------------------------------------
1064
  neorv32_uart1_inst_true:
1065
  if (IO_UART1_EN = true) generate
1066
    neorv32_uart1_inst: neorv32_uart
1067
    generic map (
1068
      UART_PRIMARY => false -- true = primary UART (UART0), false = secondary UART (UART1)
1069
    )
1070
    port map (
1071
      -- host access --
1072 60 zero_gravi
      clk_i       => clk_i,                      -- global clock line
1073
      addr_i      => p_bus.addr,                 -- address
1074
      rden_i      => io_rden,                    -- read enable
1075
      wren_i      => io_wren,                    -- write enable
1076
      data_i      => p_bus.wdata,                -- data in
1077
      data_o      => resp_bus(RESP_UART1).rdata, -- data out
1078
      ack_o       => resp_bus(RESP_UART1).ack,   -- transfer acknowledge
1079 50 zero_gravi
      -- clock generator --
1080 60 zero_gravi
      clkgen_en_o => uart1_cg_en,                -- enable clock generator
1081 50 zero_gravi
      clkgen_i    => clk_gen,
1082
      -- com lines --
1083
      uart_txd_o  => uart1_txd_o,
1084
      uart_rxd_i  => uart1_rxd_i,
1085 51 zero_gravi
      -- hardware flow control --
1086 60 zero_gravi
      uart_rts_o  => uart1_rts_o,                -- UART.RX ready to receive ("RTR"), low-active, optional
1087
      uart_cts_i  => uart1_cts_i,                -- UART.TX allowed to transmit, low-active, optional
1088 50 zero_gravi
      -- interrupts --
1089 60 zero_gravi
      irq_rxd_o   => uart1_rxd_irq,              -- uart data received interrupt
1090
      irq_txd_o   => uart1_txd_irq               -- uart transmission done interrupt
1091 50 zero_gravi
    );
1092 60 zero_gravi
    resp_bus(RESP_UART1).err <= '0'; -- no access error possible
1093 50 zero_gravi
  end generate;
1094
 
1095
  neorv32_uart1_inst_false:
1096
  if (IO_UART1_EN = false) generate
1097 60 zero_gravi
    resp_bus(RESP_UART1) <= resp_bus_entry_terminate_c;
1098 50 zero_gravi
    uart1_txd_o   <= '0';
1099 51 zero_gravi
    uart1_rts_o   <= '0';
1100 50 zero_gravi
    uart1_cg_en   <= '0';
1101
    uart1_rxd_irq <= '0';
1102
    uart1_txd_irq <= '0';
1103
  end generate;
1104
 
1105
 
1106 2 zero_gravi
  -- Serial Peripheral Interface (SPI) ------------------------------------------------------
1107
  -- -------------------------------------------------------------------------------------------
1108
  neorv32_spi_inst_true:
1109 44 zero_gravi
  if (IO_SPI_EN = true) generate
1110 2 zero_gravi
    neorv32_spi_inst: neorv32_spi
1111
    port map (
1112
      -- host access --
1113 60 zero_gravi
      clk_i       => clk_i,                    -- global clock line
1114
      addr_i      => p_bus.addr,               -- address
1115
      rden_i      => io_rden,                  -- read enable
1116
      wren_i      => io_wren,                  -- write enable
1117
      data_i      => p_bus.wdata,              -- data in
1118
      data_o      => resp_bus(RESP_SPI).rdata, -- data out
1119
      ack_o       => resp_bus(RESP_SPI).ack,   -- transfer acknowledge
1120 2 zero_gravi
      -- clock generator --
1121 60 zero_gravi
      clkgen_en_o => spi_cg_en,                -- enable clock generator
1122 2 zero_gravi
      clkgen_i    => clk_gen,
1123
      -- com lines --
1124 60 zero_gravi
      spi_sck_o   => spi_sck_o,                -- SPI serial clock
1125
      spi_sdo_o   => spi_sdo_o,                -- controller data out, peripheral data in
1126
      spi_sdi_i   => spi_sdi_i,                -- controller data in, peripheral data out
1127
      spi_csn_o   => spi_csn_o,                -- SPI CS
1128 2 zero_gravi
      -- interrupt --
1129 60 zero_gravi
      irq_o       => spi_irq                   -- transmission done interrupt
1130 2 zero_gravi
    );
1131 60 zero_gravi
    resp_bus(RESP_SPI).err <= '0'; -- no access error possible
1132 2 zero_gravi
  end generate;
1133
 
1134
  neorv32_spi_inst_false:
1135 44 zero_gravi
  if (IO_SPI_EN = false) generate
1136 60 zero_gravi
    resp_bus(RESP_SPI) <= resp_bus_entry_terminate_c;
1137
    spi_sck_o <= '0';
1138
    spi_sdo_o <= '0';
1139
    spi_csn_o <= (others => '1'); -- CSn lines are low-active
1140
    spi_cg_en <= '0';
1141
    spi_irq   <= '0';
1142 2 zero_gravi
  end generate;
1143
 
1144
 
1145
  -- Two-Wire Interface (TWI) ---------------------------------------------------------------
1146
  -- -------------------------------------------------------------------------------------------
1147
  neorv32_twi_inst_true:
1148 44 zero_gravi
  if (IO_TWI_EN = true) generate
1149 2 zero_gravi
    neorv32_twi_inst: neorv32_twi
1150
    port map (
1151
      -- host access --
1152 60 zero_gravi
      clk_i       => clk_i,                    -- global clock line
1153
      addr_i      => p_bus.addr,               -- address
1154
      rden_i      => io_rden,                  -- read enable
1155
      wren_i      => io_wren,                  -- write enable
1156
      data_i      => p_bus.wdata,              -- data in
1157
      data_o      => resp_bus(RESP_TWI).rdata, -- data out
1158
      ack_o       => resp_bus(RESP_TWI).ack,   -- transfer acknowledge
1159 2 zero_gravi
      -- clock generator --
1160 60 zero_gravi
      clkgen_en_o => twi_cg_en,                -- enable clock generator
1161 2 zero_gravi
      clkgen_i    => clk_gen,
1162
      -- com lines --
1163 60 zero_gravi
      twi_sda_io  => twi_sda_io,               -- serial data line
1164
      twi_scl_io  => twi_scl_io,               -- serial clock line
1165 2 zero_gravi
      -- interrupt --
1166 60 zero_gravi
      irq_o       => twi_irq                   -- transfer done IRQ
1167 2 zero_gravi
    );
1168 60 zero_gravi
    resp_bus(RESP_TWI).err <= '0'; -- no access error possible
1169 2 zero_gravi
  end generate;
1170
 
1171
  neorv32_twi_inst_false:
1172 44 zero_gravi
  if (IO_TWI_EN = false) generate
1173 60 zero_gravi
    resp_bus(RESP_TWI) <= resp_bus_entry_terminate_c;
1174 51 zero_gravi
--  twi_sda_io <= 'Z'; -- FIXME?
1175
--  twi_scl_io <= 'Z'; -- FIXME?
1176 2 zero_gravi
    twi_cg_en  <= '0';
1177
    twi_irq    <= '0';
1178
  end generate;
1179
 
1180
 
1181
  -- Pulse-Width Modulation Controller (PWM) ------------------------------------------------
1182
  -- -------------------------------------------------------------------------------------------
1183
  neorv32_pwm_inst_true:
1184 60 zero_gravi
  if (IO_PWM_NUM_CH > 0) generate
1185 2 zero_gravi
    neorv32_pwm_inst: neorv32_pwm
1186 60 zero_gravi
    generic map (
1187
      NUM_CHANNELS => IO_PWM_NUM_CH -- number of PWM channels (0..60)
1188
    )
1189 2 zero_gravi
    port map (
1190
      -- host access --
1191 60 zero_gravi
      clk_i       => clk_i,                    -- global clock line
1192
      addr_i      => p_bus.addr,               -- address
1193
      rden_i      => io_rden,                  -- read enable
1194
      wren_i      => io_wren,                  -- write enable
1195
      data_i      => p_bus.wdata,              -- data in
1196
      data_o      => resp_bus(RESP_PWM).rdata, -- data out
1197
      ack_o       => resp_bus(RESP_PWM).ack,   -- transfer acknowledge
1198 2 zero_gravi
      -- clock generator --
1199 60 zero_gravi
      clkgen_en_o => pwm_cg_en,                -- enable clock generator
1200 2 zero_gravi
      clkgen_i    => clk_gen,
1201
      -- pwm output channels --
1202
      pwm_o       => pwm_o
1203
    );
1204 60 zero_gravi
    resp_bus(RESP_PWM).err <= '0'; -- no access error possible
1205 2 zero_gravi
  end generate;
1206
 
1207
  neorv32_pwm_inst_false:
1208 60 zero_gravi
  if (IO_PWM_NUM_CH = 0) generate
1209
    resp_bus(RESP_PWM) <= resp_bus_entry_terminate_c;
1210 2 zero_gravi
    pwm_cg_en <= '0';
1211
    pwm_o     <= (others => '0');
1212
  end generate;
1213
 
1214
 
1215
  -- True Random Number Generator (TRNG) ----------------------------------------------------
1216
  -- -------------------------------------------------------------------------------------------
1217
  neorv32_trng_inst_true:
1218 44 zero_gravi
  if (IO_TRNG_EN = true) generate
1219 2 zero_gravi
    neorv32_trng_inst: neorv32_trng
1220
    port map (
1221
      -- host access --
1222 60 zero_gravi
      clk_i  => clk_i,                     -- global clock line
1223
      addr_i => p_bus.addr,                -- address
1224
      rden_i => io_rden,                   -- read enable
1225
      wren_i => io_wren,                   -- write enable
1226
      data_i => p_bus.wdata,               -- data in
1227
      data_o => resp_bus(RESP_TRNG).rdata, -- data out
1228
      ack_o  => resp_bus(RESP_TRNG).ack    -- transfer acknowledge
1229 2 zero_gravi
    );
1230 60 zero_gravi
    resp_bus(RESP_TRNG).err <= '0'; -- no access error possible
1231 2 zero_gravi
  end generate;
1232
 
1233
  neorv32_trng_inst_false:
1234 44 zero_gravi
  if (IO_TRNG_EN = false) generate
1235 60 zero_gravi
    resp_bus(RESP_TRNG) <= resp_bus_entry_terminate_c;
1236 2 zero_gravi
  end generate;
1237
 
1238
 
1239 52 zero_gravi
  -- Smart LED (WS2811/WS2812) Interface (NEOLED) -------------------------------------------
1240
  -- -------------------------------------------------------------------------------------------
1241
  neorv32_neoled_inst_true:
1242
  if (IO_NEOLED_EN = true) generate
1243
    neorv32_neoled_inst: neorv32_neoled
1244 62 zero_gravi
    generic map (
1245
      FIFO_DEPTH => IO_NEOLED_TX_FIFO -- TX FIFO depth (1..32k, power of two)
1246
    )
1247 52 zero_gravi
    port map (
1248
      -- host access --
1249 60 zero_gravi
      clk_i       => clk_i,                       -- global clock line
1250
      addr_i      => p_bus.addr,                  -- address
1251
      rden_i      => io_rden,                     -- read enable
1252
      wren_i      => io_wren,                     -- write enable
1253
      data_i      => p_bus.wdata,                 -- data in
1254
      data_o      => resp_bus(RESP_NEOLED).rdata, -- data out
1255
      ack_o       => resp_bus(RESP_NEOLED).ack,   -- transfer acknowledge
1256 52 zero_gravi
      -- clock generator --
1257 60 zero_gravi
      clkgen_en_o => neoled_cg_en,                -- enable clock generator
1258 52 zero_gravi
      clkgen_i    => clk_gen,
1259
      -- interrupt --
1260 60 zero_gravi
      irq_o       => neoled_irq,                  -- interrupt request
1261 52 zero_gravi
      -- NEOLED output --
1262 60 zero_gravi
      neoled_o    => neoled_o                     -- serial async data line
1263 52 zero_gravi
    );
1264 60 zero_gravi
    resp_bus(RESP_NEOLED).err <= '0'; -- no access error possible
1265 52 zero_gravi
  end generate;
1266
 
1267
  neorv32_neoled_inst_false:
1268
  if (IO_NEOLED_EN = false) generate
1269 60 zero_gravi
    resp_bus(RESP_NEOLED) <= resp_bus_entry_terminate_c;
1270 52 zero_gravi
    neoled_cg_en <= '0';
1271
    neoled_irq   <= '0';
1272
    neoled_o     <= '0';
1273
  end generate;
1274
 
1275
 
1276 61 zero_gravi
  -- Stream Link Interface (SLINK) ----------------------------------------------------------
1277
  -- -------------------------------------------------------------------------------------------
1278
  neorv32_slink_inst_true:
1279
  if (io_slink_en_c = true) generate
1280
    neorv32_slink_inst: neorv32_slink
1281
    generic map (
1282
      SLINK_NUM_TX  => SLINK_NUM_TX,  -- number of TX links (0..8)
1283
      SLINK_NUM_RX  => SLINK_NUM_RX,  -- number of TX links (0..8)
1284
      SLINK_TX_FIFO => SLINK_TX_FIFO, -- TX fifo depth, has to be a power of two
1285
      SLINK_RX_FIFO => SLINK_RX_FIFO  -- RX fifo depth, has to be a power of two
1286
    )
1287
    port map (
1288
      -- host access --
1289
      clk_i          => clk_i,                      -- global clock line
1290
      addr_i         => p_bus.addr,                 -- address
1291
      rden_i         => io_rden,                    -- read enable
1292
      wren_i         => io_wren,                    -- write enable
1293
      data_i         => p_bus.wdata,                -- data in
1294
      data_o         => resp_bus(RESP_SLINK).rdata, -- data out
1295
      ack_o          => resp_bus(RESP_SLINK).ack,   -- transfer acknowledge
1296
      -- interrupt --
1297
      irq_tx_o       => slink_tx_irq,               -- transmission done
1298
      irq_rx_o       => slink_rx_irq,               -- data received
1299
      -- TX stream interfaces --
1300
      slink_tx_dat_o => slink_tx_dat_o,             -- output data
1301
      slink_tx_val_o => slink_tx_val_o,             -- valid output
1302
      slink_tx_rdy_i => slink_tx_rdy_i,             -- ready to send
1303
      -- RX stream interfaces --
1304
      slink_rx_dat_i => slink_rx_dat_i,             -- input data
1305
      slink_rx_val_i => slink_rx_val_i,             -- valid input
1306
      slink_rx_rdy_o => slink_rx_rdy_o              -- ready to receive
1307
    );
1308
    resp_bus(RESP_SLINK).err <= '0'; -- no access error possible
1309
  end generate;
1310
 
1311
  neorv32_slink_inst_false:
1312
  if (io_slink_en_c = false) generate
1313
    resp_bus(RESP_SLINK) <= resp_bus_entry_terminate_c;
1314
    slink_tx_irq   <= '0';
1315
    slink_rx_irq   <= '0';
1316
    slink_tx_dat_o <= (others => (others => '0'));
1317
    slink_tx_val_o <= (others => '0');
1318
    slink_rx_rdy_o <= (others => '0');
1319
  end generate;
1320
 
1321
 
1322
  -- External Interrupt Controller (XIRQ) ---------------------------------------------------
1323
  -- -------------------------------------------------------------------------------------------
1324
  neorv32_xirq_inst_true:
1325
  if (XIRQ_NUM_CH > 0) generate
1326
    neorv32_slink_inst: neorv32_xirq
1327
    generic map (
1328
      XIRQ_NUM_CH           => XIRQ_NUM_CH,          -- number of external IRQ channels (0..32)
1329
      XIRQ_TRIGGER_TYPE     => XIRQ_TRIGGER_TYPE,    -- trigger type: 0=level, 1=edge
1330
      XIRQ_TRIGGER_POLARITY => XIRQ_TRIGGER_POLARITY -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
1331
    )
1332
    port map (
1333
      -- host access --
1334
      clk_i     => clk_i,                     -- global clock line
1335
      addr_i    => p_bus.addr,                -- address
1336
      rden_i    => io_rden,                   -- read enable
1337
      wren_i    => io_wren,                   -- write enable
1338
      data_i    => p_bus.wdata,               -- data in
1339
      data_o    => resp_bus(RESP_XIRQ).rdata, -- data out
1340
      ack_o     => resp_bus(RESP_XIRQ).ack,   -- transfer acknowledge
1341
      -- external interrupt lines --
1342
      xirq_i    => xirq_i,
1343
      -- CPU interrupt --
1344
      cpu_irq_o => xirq_irq
1345
    );
1346
    resp_bus(RESP_XIRQ).err <= '0'; -- no access error possible
1347
  end generate;
1348
 
1349
  neorv32_xirq_inst_false:
1350
  if (XIRQ_NUM_CH = 0) generate
1351
    resp_bus(RESP_XIRQ) <= resp_bus_entry_terminate_c;
1352
    xirq_irq <= '0';
1353
  end generate;
1354
 
1355
 
1356 12 zero_gravi
  -- System Configuration Information Memory (SYSINFO) --------------------------------------
1357
  -- -------------------------------------------------------------------------------------------
1358
  neorv32_sysinfo_inst: neorv32_sysinfo
1359
  generic map (
1360
    -- General --
1361 45 zero_gravi
    CLOCK_FREQUENCY      => CLOCK_FREQUENCY,      -- clock frequency of clk_i in Hz
1362 61 zero_gravi
    INT_BOOTLOADER_EN    => INT_BOOTLOADER_EN,    -- implement processor-internal bootloader?
1363 45 zero_gravi
    USER_CODE            => USER_CODE,            -- custom user code
1364 23 zero_gravi
    -- internal Instruction memory --
1365 45 zero_gravi
    MEM_INT_IMEM_EN      => MEM_INT_IMEM_EN,      -- implement processor-internal instruction memory
1366
    MEM_INT_IMEM_SIZE    => MEM_INT_IMEM_SIZE,    -- size of processor-internal instruction memory in bytes
1367 23 zero_gravi
    -- Internal Data memory --
1368 45 zero_gravi
    MEM_INT_DMEM_EN      => MEM_INT_DMEM_EN,      -- implement processor-internal data memory
1369
    MEM_INT_DMEM_SIZE    => MEM_INT_DMEM_SIZE,    -- size of processor-internal data memory in bytes
1370 41 zero_gravi
    -- Internal Cache memory --
1371 45 zero_gravi
    ICACHE_EN            => ICACHE_EN,            -- implement instruction cache
1372
    ICACHE_NUM_BLOCKS    => ICACHE_NUM_BLOCKS,    -- i-cache: number of blocks (min 2), has to be a power of 2
1373
    ICACHE_BLOCK_SIZE    => ICACHE_BLOCK_SIZE,    -- i-cache: block size in bytes (min 4), has to be a power of 2
1374
    ICACHE_ASSOCIATIVITY => ICACHE_ASSOCIATIVITY, -- i-cache: associativity (min 1), has to be a power 2
1375 23 zero_gravi
    -- External memory interface --
1376 45 zero_gravi
    MEM_EXT_EN           => MEM_EXT_EN,           -- implement external memory bus interface?
1377 62 zero_gravi
    MEM_EXT_BIG_ENDIAN   => MEM_EXT_BIG_ENDIAN,   -- byte order: true=big-endian, false=little-endian
1378 59 zero_gravi
    -- On-Chip Debugger --
1379
    ON_CHIP_DEBUGGER_EN  => ON_CHIP_DEBUGGER_EN,  -- implement OCD?
1380 12 zero_gravi
    -- Processor peripherals --
1381 45 zero_gravi
    IO_GPIO_EN           => IO_GPIO_EN,           -- implement general purpose input/output port unit (GPIO)?
1382
    IO_MTIME_EN          => IO_MTIME_EN,          -- implement machine system timer (MTIME)?
1383 50 zero_gravi
    IO_UART0_EN          => IO_UART0_EN,          -- implement primary universal asynchronous receiver/transmitter (UART0)?
1384
    IO_UART1_EN          => IO_UART1_EN,          -- implement secondary universal asynchronous receiver/transmitter (UART1)?
1385 45 zero_gravi
    IO_SPI_EN            => IO_SPI_EN,            -- implement serial peripheral interface (SPI)?
1386
    IO_TWI_EN            => IO_TWI_EN,            -- implement two-wire interface (TWI)?
1387 60 zero_gravi
    IO_PWM_NUM_CH        => IO_PWM_NUM_CH,        -- number of PWM channels to implement
1388 45 zero_gravi
    IO_WDT_EN            => IO_WDT_EN,            -- implement watch dog timer (WDT)?
1389
    IO_TRNG_EN           => IO_TRNG_EN,           -- implement true random number generator (TRNG)?
1390 49 zero_gravi
    IO_CFS_EN            => IO_CFS_EN,            -- implement custom functions subsystem (CFS)?
1391 61 zero_gravi
    IO_SLINK_EN          => io_slink_en_c,        -- implement stream link interface?
1392
    IO_NEOLED_EN         => IO_NEOLED_EN,         -- implement NeoPixel-compatible smart LED interface (NEOLED)?
1393
    IO_XIRQ_NUM_CH       => XIRQ_NUM_CH           -- number of external interrupt (XIRQ) channels to implement
1394 12 zero_gravi
  )
1395
  port map (
1396
    -- host access --
1397 60 zero_gravi
    clk_i  => clk_i,                        -- global clock line
1398
    addr_i => p_bus.addr,                   -- address
1399
    rden_i => io_rden,                      -- read enable
1400
    data_o => resp_bus(RESP_SYSINFO).rdata, -- data out
1401
    ack_o  => resp_bus(RESP_SYSINFO).ack    -- transfer acknowledge
1402 12 zero_gravi
  );
1403
 
1404 60 zero_gravi
  resp_bus(RESP_SYSINFO).err <= '0'; -- no access error possible
1405 12 zero_gravi
 
1406 60 zero_gravi
 
1407 59 zero_gravi
  -- **************************************************************************************************************************
1408
  -- On-Chip Debugger Complex
1409
  -- **************************************************************************************************************************
1410
 
1411
 
1412
  -- On-Chip Debugger - Debug Module (DM) ---------------------------------------------------
1413
  -- -------------------------------------------------------------------------------------------
1414
  neorv32_neorv32_debug_dm_true:
1415
  if (ON_CHIP_DEBUGGER_EN = true) generate
1416
    neorv32_debug_dm_inst: neorv32_debug_dm
1417
    port map (
1418
      -- global control --
1419 60 zero_gravi
      clk_i            => clk_i,                    -- global clock line
1420
      rstn_i           => ext_rstn,                 -- external reset, low-active
1421 59 zero_gravi
      -- debug module interface (DMI) --
1422
      dmi_rstn_i       => dmi.rstn,
1423
      dmi_req_valid_i  => dmi.req_valid,
1424
      dmi_req_ready_o  => dmi.req_ready,
1425
      dmi_req_addr_i   => dmi.req_addr,
1426
      dmi_req_op_i     => dmi.req_op,
1427
      dmi_req_data_i   => dmi.req_data,
1428 60 zero_gravi
      dmi_resp_valid_o => dmi.resp_valid,           -- response valid when set
1429
      dmi_resp_ready_i => dmi.resp_ready,           -- ready to receive respond
1430 59 zero_gravi
      dmi_resp_data_o  => dmi.resp_data,
1431 60 zero_gravi
      dmi_resp_err_o   => dmi.resp_err,             -- 0=ok, 1=error
1432 59 zero_gravi
      -- CPU bus access --
1433 60 zero_gravi
      cpu_addr_i       => p_bus.addr,               -- address
1434
      cpu_rden_i       => p_bus.re,                 -- read enable
1435
      cpu_wren_i       => p_bus.we,                 -- write enable
1436
      cpu_data_i       => p_bus.wdata,              -- data in
1437
      cpu_data_o       => resp_bus(RESP_OCD).rdata, -- data out
1438
      cpu_ack_o        => resp_bus(RESP_OCD).ack,   -- transfer acknowledge
1439 59 zero_gravi
      -- CPU control --
1440 60 zero_gravi
      cpu_ndmrstn_o    => dci_ndmrstn,              -- soc reset
1441
      cpu_halt_req_o   => dci_halt_req              -- request hart to halt (enter debug mode)
1442 59 zero_gravi
    );
1443 60 zero_gravi
    resp_bus(RESP_OCD).err <= '0'; -- no access error possible
1444 59 zero_gravi
  end generate;
1445
 
1446
  neorv32_debug_dm_false:
1447
  if (ON_CHIP_DEBUGGER_EN = false) generate
1448
    dmi.req_ready  <= '0';
1449
    dmi.resp_valid <= '0';
1450
    dmi.resp_data  <= (others => '0');
1451
    dmi.resp_err   <= '0';
1452
    --
1453 60 zero_gravi
    resp_bus(RESP_OCD) <= resp_bus_entry_terminate_c;
1454
    dci_ndmrstn  <= '1';
1455
    dci_halt_req <= '0';
1456 59 zero_gravi
  end generate;
1457
 
1458
 
1459
  -- On-Chip Debugger - Debug Transport Module (DTM) ----------------------------------------
1460
  -- -------------------------------------------------------------------------------------------
1461
  neorv32_neorv32_debug_dtm_true:
1462
  if (ON_CHIP_DEBUGGER_EN = true) generate
1463
    neorv32_debug_dtm_inst: neorv32_debug_dtm
1464
    generic map (
1465
      IDCODE_VERSION => jtag_tap_idcode_version_c, -- version
1466
      IDCODE_PARTID  => jtag_tap_idcode_partid_c,  -- part number
1467
      IDCODE_MANID   => jtag_tap_idcode_manid_c    -- manufacturer id
1468
    )
1469
    port map (
1470
      -- global control --
1471
      clk_i            => clk_i,          -- global clock line
1472
      rstn_i           => ext_rstn,       -- external reset, low-active
1473
      -- jtag connection --
1474
      jtag_trst_i      => jtag_trst_i,
1475
      jtag_tck_i       => jtag_tck_i,
1476
      jtag_tdi_i       => jtag_tdi_i,
1477
      jtag_tdo_o       => jtag_tdo_o,
1478
      jtag_tms_i       => jtag_tms_i,
1479
      -- debug module interface (DMI) --
1480
      dmi_rstn_o       => dmi.rstn,
1481
      dmi_req_valid_o  => dmi.req_valid,
1482
      dmi_req_ready_i  => dmi.req_ready,  -- DMI is allowed to make new requests when set
1483
      dmi_req_addr_o   => dmi.req_addr,
1484
      dmi_req_op_o     => dmi.req_op,     -- 0=read, 1=write
1485
      dmi_req_data_o   => dmi.req_data,
1486
      dmi_resp_valid_i => dmi.resp_valid, -- response valid when set
1487
      dmi_resp_ready_o => dmi.resp_ready, -- ready to receive respond
1488
      dmi_resp_data_i  => dmi.resp_data,
1489
      dmi_resp_err_i   => dmi.resp_err    -- 0=ok, 1=error
1490
    );
1491
  end generate;
1492
 
1493
  neorv32_debug_dtm_false:
1494
  if (ON_CHIP_DEBUGGER_EN = false) generate
1495
    jtag_tdo_o <= jtag_tdi_i; -- feed-through
1496
    --
1497
    dmi.rstn       <= '0';
1498
    dmi.req_valid  <= '0';
1499
    dmi.req_addr   <= (others => '0');
1500
    dmi.req_op     <= '0';
1501
    dmi.req_data   <= (others => '0');
1502
    dmi.resp_ready <= '0';
1503
  end generate;
1504
 
1505
 
1506 2 zero_gravi
end neorv32_top_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.