OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_top.vhd] - Blame information for rev 67

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2 66 zero_gravi
-- # << The NEORV32 RISC-V Processor - Top Entity >>                                               #
3 2 zero_gravi
-- # ********************************************************************************************* #
4 63 zero_gravi
-- # Check out the processor's online documentation for more information:                          #
5
-- #  HQ:         https://github.com/stnolting/neorv32                                             #
6
-- #  Data Sheet: https://stnolting.github.io/neorv32                                              #
7
-- #  User Guide: https://stnolting.github.io/neorv32/ug                                           #
8 2 zero_gravi
-- # ********************************************************************************************* #
9
-- # BSD 3-Clause License                                                                          #
10
-- #                                                                                               #
11 42 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
12 2 zero_gravi
-- #                                                                                               #
13
-- # Redistribution and use in source and binary forms, with or without modification, are          #
14
-- # permitted provided that the following conditions are met:                                     #
15
-- #                                                                                               #
16
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
17
-- #    conditions and the following disclaimer.                                                   #
18
-- #                                                                                               #
19
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
20
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
21
-- #    provided with the distribution.                                                            #
22
-- #                                                                                               #
23
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
24
-- #    endorse or promote products derived from this software without specific prior written      #
25
-- #    permission.                                                                                #
26
-- #                                                                                               #
27
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
28
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
29
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
30
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
31
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
32
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
33
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
34
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
35
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
36
-- # ********************************************************************************************* #
37
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
38
-- #################################################################################################
39
 
40
library ieee;
41
use ieee.std_logic_1164.all;
42
use ieee.numeric_std.all;
43
 
44
library neorv32;
45
use neorv32.neorv32_package.all;
46
 
47
entity neorv32_top is
48
  generic (
49
    -- General --
50 62 zero_gravi
    CLOCK_FREQUENCY              : natural;           -- clock frequency of clk_i in Hz
51 49 zero_gravi
    HW_THREAD_ID                 : natural := 0;      -- hardware thread id (32-bit)
52 62 zero_gravi
    INT_BOOTLOADER_EN            : boolean := false;  -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
53 50 zero_gravi
 
54 59 zero_gravi
    -- On-Chip Debugger (OCD) --
55
    ON_CHIP_DEBUGGER_EN          : boolean := false;  -- implement on-chip debugger
56
 
57 2 zero_gravi
    -- RISC-V CPU Extensions --
58 39 zero_gravi
    CPU_EXTENSION_RISCV_A        : boolean := false;  -- implement atomic extension?
59 66 zero_gravi
    CPU_EXTENSION_RISCV_B        : boolean := false;  -- implement bit-manipulation extension?
60 11 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
61 8 zero_gravi
    CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
62 61 zero_gravi
    CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement mul/div extension?
63 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
64 57 zero_gravi
    CPU_EXTENSION_RISCV_Zfinx    : boolean := false;  -- implement 32-bit floating-point extension (using INT regs!)
65 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
66 66 zero_gravi
    CPU_EXTENSION_RISCV_Zicntr   : boolean := true;   -- implement base counters?
67
    CPU_EXTENSION_RISCV_Zihpm    : boolean := false;  -- implement hardware performance monitors?
68 39 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei : boolean := false;  -- implement instruction stream sync.?
69 61 zero_gravi
    CPU_EXTENSION_RISCV_Zmmul    : boolean := false;  -- implement multiply-only M sub-extension?
70 50 zero_gravi
 
71 19 zero_gravi
    -- Extension Options --
72 23 zero_gravi
    FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
73 39 zero_gravi
    FAST_SHIFT_EN                : boolean := false;  -- use barrel shifter for shift operations
74 56 zero_gravi
    CPU_CNT_WIDTH                : natural := 64;     -- total width of CPU cycle and instret counters (0..64)
75 62 zero_gravi
    CPU_IPB_ENTRIES              : natural := 2;      -- entries is instruction prefetch buffer, has to be a power of 2
76 50 zero_gravi
 
77 15 zero_gravi
    -- Physical Memory Protection (PMP) --
78 42 zero_gravi
    PMP_NUM_REGIONS              : natural := 0;      -- number of regions (0..64)
79
    PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
80 50 zero_gravi
 
81 42 zero_gravi
    -- Hardware Performance Monitors (HPM) --
82 47 zero_gravi
    HPM_NUM_CNTS                 : natural := 0;      -- number of implemented HPM counters (0..29)
83 60 zero_gravi
    HPM_CNT_WIDTH                : natural := 40;     -- total size of HPM counters (0..64)
84 50 zero_gravi
 
85 61 zero_gravi
    -- Internal Instruction memory (IMEM) --
86 62 zero_gravi
    MEM_INT_IMEM_EN              : boolean := false;  -- implement processor-internal instruction memory
87 8 zero_gravi
    MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
88 50 zero_gravi
 
89 61 zero_gravi
    -- Internal Data memory (DMEM) --
90 62 zero_gravi
    MEM_INT_DMEM_EN              : boolean := false;  -- implement processor-internal data memory
91 8 zero_gravi
    MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
92 50 zero_gravi
 
93 61 zero_gravi
    -- Internal Cache memory (iCACHE) --
94 44 zero_gravi
    ICACHE_EN                    : boolean := false;  -- implement instruction cache
95 41 zero_gravi
    ICACHE_NUM_BLOCKS            : natural := 4;      -- i-cache: number of blocks (min 1), has to be a power of 2
96
    ICACHE_BLOCK_SIZE            : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
97 45 zero_gravi
    ICACHE_ASSOCIATIVITY         : natural := 1;      -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
98 50 zero_gravi
 
99 61 zero_gravi
    -- External memory interface (WISHBONE) --
100 44 zero_gravi
    MEM_EXT_EN                   : boolean := false;  -- implement external memory bus interface?
101 57 zero_gravi
    MEM_EXT_TIMEOUT              : natural := 255;    -- cycles after a pending bus access auto-terminates (0 = disabled)
102 62 zero_gravi
    MEM_EXT_PIPE_MODE            : boolean := false;  -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
103
    MEM_EXT_BIG_ENDIAN           : boolean := false;  -- byte order: true=big-endian, false=little-endian
104
    MEM_EXT_ASYNC_RX             : boolean := false;  -- use register buffer for RX data when false
105 50 zero_gravi
 
106 61 zero_gravi
    -- Stream link interface (SLINK) --
107
    SLINK_NUM_TX                 : natural := 0;      -- number of TX links (0..8)
108
    SLINK_NUM_RX                 : natural := 0;      -- number of TX links (0..8)
109
    SLINK_TX_FIFO                : natural := 1;      -- TX fifo depth, has to be a power of two
110
    SLINK_RX_FIFO                : natural := 1;      -- RX fifo depth, has to be a power of two
111
 
112
    -- External Interrupts Controller (XIRQ) --
113
    XIRQ_NUM_CH                  : natural := 0;      -- number of external IRQ channels (0..32)
114 63 zero_gravi
    XIRQ_TRIGGER_TYPE            : std_ulogic_vector(31 downto 0) := x"ffffffff"; -- trigger type: 0=level, 1=edge
115
    XIRQ_TRIGGER_POLARITY        : std_ulogic_vector(31 downto 0) := x"ffffffff"; -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
116 61 zero_gravi
 
117 2 zero_gravi
    -- Processor peripherals --
118 62 zero_gravi
    IO_GPIO_EN                   : boolean := false;  -- implement general purpose input/output port unit (GPIO)?
119
    IO_MTIME_EN                  : boolean := false;  -- implement machine system timer (MTIME)?
120
    IO_UART0_EN                  : boolean := false;  -- implement primary universal asynchronous receiver/transmitter (UART0)?
121 65 zero_gravi
    IO_UART0_RX_FIFO             : natural := 1;      -- RX fifo depth, has to be a power of two, min 1
122
    IO_UART0_TX_FIFO             : natural := 1;      -- TX fifo depth, has to be a power of two, min 1
123 62 zero_gravi
    IO_UART1_EN                  : boolean := false;  -- implement secondary universal asynchronous receiver/transmitter (UART1)?
124 65 zero_gravi
    IO_UART1_RX_FIFO             : natural := 1;      -- RX fifo depth, has to be a power of two, min 1
125
    IO_UART1_TX_FIFO             : natural := 1;      -- TX fifo depth, has to be a power of two, min 1
126 62 zero_gravi
    IO_SPI_EN                    : boolean := false;  -- implement serial peripheral interface (SPI)?
127
    IO_TWI_EN                    : boolean := false;  -- implement two-wire interface (TWI)?
128
    IO_PWM_NUM_CH                : natural := 0;      -- number of PWM channels to implement (0..60); 0 = disabled
129
    IO_WDT_EN                    : boolean := false;  -- implement watch dog timer (WDT)?
130 44 zero_gravi
    IO_TRNG_EN                   : boolean := false;  -- implement true random number generator (TRNG)?
131 47 zero_gravi
    IO_CFS_EN                    : boolean := false;  -- implement custom functions subsystem (CFS)?
132 56 zero_gravi
    IO_CFS_CONFIG                : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom CFS configuration generic
133 52 zero_gravi
    IO_CFS_IN_SIZE               : positive := 32;    -- size of CFS input conduit in bits
134
    IO_CFS_OUT_SIZE              : positive := 32;    -- size of CFS output conduit in bits
135 62 zero_gravi
    IO_NEOLED_EN                 : boolean := false;  -- implement NeoPixel-compatible smart LED interface (NEOLED)?
136 67 zero_gravi
    IO_NEOLED_TX_FIFO            : natural := 1;      -- NEOLED TX FIFO depth, 1..32k, has to be a power of two
137
    IO_GPTMR_EN                  : boolean := false   -- implement general purpose timer (GPTMR)?
138 2 zero_gravi
  );
139
  port (
140
    -- Global control --
141 62 zero_gravi
    clk_i          : in  std_ulogic; -- global clock, rising edge
142
    rstn_i         : in  std_ulogic; -- global reset, low-active, async
143 50 zero_gravi
 
144 59 zero_gravi
    -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) --
145 62 zero_gravi
    jtag_trst_i    : in  std_ulogic := 'U'; -- low-active TAP reset (optional)
146
    jtag_tck_i     : in  std_ulogic := 'U'; -- serial clock
147
    jtag_tdi_i     : in  std_ulogic := 'U'; -- serial data input
148 61 zero_gravi
    jtag_tdo_o     : out std_ulogic;        -- serial data output
149 62 zero_gravi
    jtag_tms_i     : in  std_ulogic := 'U'; -- mode select
150 59 zero_gravi
 
151 44 zero_gravi
    -- Wishbone bus interface (available if MEM_EXT_EN = true) --
152 61 zero_gravi
    wb_tag_o       : out std_ulogic_vector(02 downto 0); -- request tag
153
    wb_adr_o       : out std_ulogic_vector(31 downto 0); -- address
154 62 zero_gravi
    wb_dat_i       : in  std_ulogic_vector(31 downto 0) := (others => 'U'); -- read data
155 61 zero_gravi
    wb_dat_o       : out std_ulogic_vector(31 downto 0); -- write data
156
    wb_we_o        : out std_ulogic; -- read/write
157
    wb_sel_o       : out std_ulogic_vector(03 downto 0); -- byte enable
158
    wb_stb_o       : out std_ulogic; -- strobe
159
    wb_cyc_o       : out std_ulogic; -- valid cycle
160
    wb_lock_o      : out std_ulogic; -- exclusive access request
161 62 zero_gravi
    wb_ack_i       : in  std_ulogic := 'L'; -- transfer acknowledge
162
    wb_err_i       : in  std_ulogic := 'L'; -- transfer error
163 50 zero_gravi
 
164 44 zero_gravi
    -- Advanced memory control signals (available if MEM_EXT_EN = true) --
165 61 zero_gravi
    fence_o        : out std_ulogic; -- indicates an executed FENCE operation
166
    fencei_o       : out std_ulogic; -- indicates an executed FENCEI operation
167 50 zero_gravi
 
168 61 zero_gravi
    -- TX stream interfaces (available if SLINK_NUM_TX > 0) --
169
    slink_tx_dat_o : out sdata_8x32_t; -- output data
170
    slink_tx_val_o : out std_ulogic_vector(7 downto 0); -- valid output
171 62 zero_gravi
    slink_tx_rdy_i : in  std_ulogic_vector(7 downto 0) := (others => 'L'); -- ready to send
172 61 zero_gravi
 
173
    -- RX stream interfaces (available if SLINK_NUM_RX > 0) --
174 62 zero_gravi
    slink_rx_dat_i : in  sdata_8x32_t := (others => (others => 'U')); -- input data
175
    slink_rx_val_i : in  std_ulogic_vector(7 downto 0) := (others => 'L'); -- valid input
176 61 zero_gravi
    slink_rx_rdy_o : out std_ulogic_vector(7 downto 0); -- ready to receive
177
 
178 44 zero_gravi
    -- GPIO (available if IO_GPIO_EN = true) --
179 61 zero_gravi
    gpio_o         : out std_ulogic_vector(63 downto 0); -- parallel output
180 62 zero_gravi
    gpio_i         : in  std_ulogic_vector(63 downto 0) := (others => 'U'); -- parallel input
181 50 zero_gravi
 
182
    -- primary UART0 (available if IO_UART0_EN = true) --
183 61 zero_gravi
    uart0_txd_o    : out std_ulogic; -- UART0 send data
184 62 zero_gravi
    uart0_rxd_i    : in  std_ulogic := 'U'; -- UART0 receive data
185 61 zero_gravi
    uart0_rts_o    : out std_ulogic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
186 62 zero_gravi
    uart0_cts_i    : in  std_ulogic := 'L'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional
187 50 zero_gravi
 
188
    -- secondary UART1 (available if IO_UART1_EN = true) --
189 61 zero_gravi
    uart1_txd_o    : out std_ulogic; -- UART1 send data
190 62 zero_gravi
    uart1_rxd_i    : in  std_ulogic := 'U'; -- UART1 receive data
191 61 zero_gravi
    uart1_rts_o    : out std_ulogic; -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
192 62 zero_gravi
    uart1_cts_i    : in  std_ulogic := 'L'; -- hw flow control: UART1.TX allowed to transmit, low-active, optional
193 50 zero_gravi
 
194 44 zero_gravi
    -- SPI (available if IO_SPI_EN = true) --
195 61 zero_gravi
    spi_sck_o      : out std_ulogic; -- SPI serial clock
196
    spi_sdo_o      : out std_ulogic; -- controller data out, peripheral data in
197 62 zero_gravi
    spi_sdi_i      : in  std_ulogic := 'U'; -- controller data in, peripheral data out
198 61 zero_gravi
    spi_csn_o      : out std_ulogic_vector(07 downto 0); -- chip-select
199 50 zero_gravi
 
200 44 zero_gravi
    -- TWI (available if IO_TWI_EN = true) --
201 62 zero_gravi
    twi_sda_io     : inout std_logic := 'U'; -- twi serial data line
202
    twi_scl_io     : inout std_logic := 'U'; -- twi serial clock line
203 50 zero_gravi
 
204 60 zero_gravi
    -- PWM (available if IO_PWM_NUM_CH > 0) --
205 61 zero_gravi
    pwm_o          : out std_ulogic_vector(IO_PWM_NUM_CH-1 downto 0); -- pwm channels
206 50 zero_gravi
 
207 47 zero_gravi
    -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) --
208 62 zero_gravi
    cfs_in_i       : in  std_ulogic_vector(IO_CFS_IN_SIZE-1  downto 0) := (others => 'U'); -- custom CFS inputs conduit
209 61 zero_gravi
    cfs_out_o      : out std_ulogic_vector(IO_CFS_OUT_SIZE-1 downto 0); -- custom CFS outputs conduit
210 50 zero_gravi
 
211 52 zero_gravi
    -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
212 61 zero_gravi
    neoled_o       : out std_ulogic; -- async serial data line
213 52 zero_gravi
 
214 59 zero_gravi
    -- System time --
215 62 zero_gravi
    mtime_i        : in  std_ulogic_vector(63 downto 0) := (others => 'U'); -- current system time from ext. MTIME (if IO_MTIME_EN = false)
216 61 zero_gravi
    mtime_o        : out std_ulogic_vector(63 downto 0); -- current system time from int. MTIME (if IO_MTIME_EN = true)
217 50 zero_gravi
 
218 61 zero_gravi
    -- External platform interrupts (available if XIRQ_NUM_CH > 0) --
219 62 zero_gravi
    xirq_i         : in  std_ulogic_vector(XIRQ_NUM_CH-1 downto 0) := (others => 'L'); -- IRQ channels
220 61 zero_gravi
 
221
    -- CPU interrupts --
222 62 zero_gravi
    mtime_irq_i    : in  std_ulogic := 'L'; -- machine timer interrupt, available if IO_MTIME_EN = false
223
    msw_irq_i      : in  std_ulogic := 'L'; -- machine software interrupt
224
    mext_irq_i     : in  std_ulogic := 'L'  -- machine external interrupt
225 2 zero_gravi
  );
226
end neorv32_top;
227
 
228
architecture neorv32_top_rtl of neorv32_top is
229
 
230 61 zero_gravi
  -- CPU boot configuration --
231
  constant cpu_boot_addr_c : std_ulogic_vector(31 downto 0) := cond_sel_stdulogicvector_f(INT_BOOTLOADER_EN, boot_rom_base_c, ispace_base_c);
232 12 zero_gravi
 
233 29 zero_gravi
  -- alignment check for internal memories --
234
  constant imem_align_check_c : std_ulogic_vector(index_size_f(MEM_INT_IMEM_SIZE)-1 downto 0) := (others => '0');
235
  constant dmem_align_check_c : std_ulogic_vector(index_size_f(MEM_INT_DMEM_SIZE)-1 downto 0) := (others => '0');
236
 
237 61 zero_gravi
  -- helpers --
238
  constant io_slink_en_c : boolean := boolean(SLINK_NUM_RX > 0) or boolean(SLINK_NUM_TX > 0); -- implement slink at all?
239
 
240 2 zero_gravi
  -- reset generator --
241 63 zero_gravi
  signal rstn_gen : std_ulogic_vector(7 downto 0) := (others => '0'); -- initialize (=reset) via  (for FPGAs only)
242 60 zero_gravi
  signal ext_rstn : std_ulogic;
243
  signal sys_rstn : std_ulogic;
244
  signal wdt_rstn : std_ulogic;
245 2 zero_gravi
 
246
  -- clock generator --
247
  signal clk_div    : std_ulogic_vector(11 downto 0);
248
  signal clk_div_ff : std_ulogic_vector(11 downto 0);
249
  signal clk_gen    : std_ulogic_vector(07 downto 0);
250 67 zero_gravi
  signal clk_gen_en : std_ulogic_vector(08 downto 0);
251 47 zero_gravi
  --
252 52 zero_gravi
  signal wdt_cg_en    : std_ulogic;
253
  signal uart0_cg_en  : std_ulogic;
254
  signal uart1_cg_en  : std_ulogic;
255
  signal spi_cg_en    : std_ulogic;
256
  signal twi_cg_en    : std_ulogic;
257
  signal pwm_cg_en    : std_ulogic;
258
  signal cfs_cg_en    : std_ulogic;
259
  signal neoled_cg_en : std_ulogic;
260 67 zero_gravi
  signal gptmr_cg_en  : std_ulogic;
261 2 zero_gravi
 
262 12 zero_gravi
  -- bus interface --
263
  type bus_interface_t is record
264 11 zero_gravi
    addr   : std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
265
    rdata  : std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
266
    wdata  : std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
267
    ben    : std_ulogic_vector(03 downto 0); -- byte enable
268
    we     : std_ulogic; -- write enable
269
    re     : std_ulogic; -- read enable
270
    ack    : std_ulogic; -- bus transfer acknowledge
271
    err    : std_ulogic; -- bus transfer error
272 12 zero_gravi
    fence  : std_ulogic; -- fence(i) instruction executed
273 35 zero_gravi
    priv   : std_ulogic_vector(1 downto 0); -- current privilege level
274 40 zero_gravi
    src    : std_ulogic; -- access source (1=instruction fetch, 0=data access)
275 57 zero_gravi
    lock   : std_ulogic; -- exclusive access request
276 11 zero_gravi
  end record;
277 41 zero_gravi
  signal cpu_i, i_cache, cpu_d, p_bus : bus_interface_t;
278 2 zero_gravi
 
279 59 zero_gravi
  -- debug core interface (DCI) --
280
  signal dci_ndmrstn  : std_ulogic;
281
  signal dci_halt_req : std_ulogic;
282
 
283
  -- debug module interface (DMI) --
284
  type dmi_t is record
285
    rstn       : std_ulogic;
286
    req_valid  : std_ulogic;
287
    req_ready  : std_ulogic; -- DMI is allowed to make new requests when set
288
    req_addr   : std_ulogic_vector(06 downto 0);
289
    req_op     : std_ulogic; -- 0=read, 1=write
290
    req_data   : std_ulogic_vector(31 downto 0);
291
    resp_valid : std_ulogic; -- response valid when set
292
    resp_ready : std_ulogic; -- ready to receive respond
293
    resp_data  : std_ulogic_vector(31 downto 0);
294
    resp_err   : std_ulogic; -- 0=ok, 1=error
295
  end record;
296
  signal dmi : dmi_t;
297
 
298 2 zero_gravi
  -- io space access --
299
  signal io_acc  : std_ulogic;
300
  signal io_rden : std_ulogic;
301
  signal io_wren : std_ulogic;
302
 
303 60 zero_gravi
  -- module response bus - entry type --
304
  type resp_bus_entry_t is record
305
    rdata : std_ulogic_vector(data_width_c-1 downto 0);
306
    ack   : std_ulogic;
307
    err   : std_ulogic;
308
  end record;
309
  constant resp_bus_entry_terminate_c : resp_bus_entry_t := (rdata => (others => '0'), ack => '0', err => '0');
310 2 zero_gravi
 
311 60 zero_gravi
  -- module response bus - device ID --
312 66 zero_gravi
  type resp_bus_id_t is (RESP_BUSKEEPER, RESP_IMEM, RESP_DMEM, RESP_BOOTROM, RESP_WISHBONE, RESP_GPIO, RESP_MTIME, RESP_UART0, RESP_UART1, RESP_SPI,
313 67 zero_gravi
                         RESP_TWI, RESP_PWM, RESP_WDT, RESP_TRNG, RESP_CFS, RESP_NEOLED, RESP_SYSINFO, RESP_OCD, RESP_SLINK, RESP_XIRQ, RESP_GPTMR);
314 60 zero_gravi
 
315
  -- module response bus --
316
  type resp_bus_t is array (resp_bus_id_t) of resp_bus_entry_t;
317
  signal resp_bus : resp_bus_t := (others => resp_bus_entry_terminate_c);
318
 
319 2 zero_gravi
  -- IRQs --
320 59 zero_gravi
  signal fast_irq      : std_ulogic_vector(15 downto 0);
321 60 zero_gravi
  signal mtime_irq     : std_ulogic;
322 50 zero_gravi
  signal wdt_irq       : std_ulogic;
323
  signal uart0_rxd_irq : std_ulogic;
324
  signal uart0_txd_irq : std_ulogic;
325
  signal uart1_rxd_irq : std_ulogic;
326
  signal uart1_txd_irq : std_ulogic;
327
  signal spi_irq       : std_ulogic;
328
  signal twi_irq       : std_ulogic;
329
  signal cfs_irq       : std_ulogic;
330 52 zero_gravi
  signal neoled_irq    : std_ulogic;
331 61 zero_gravi
  signal slink_tx_irq  : std_ulogic;
332
  signal slink_rx_irq  : std_ulogic;
333
  signal xirq_irq      : std_ulogic;
334 67 zero_gravi
  signal gptmr_irq     : std_ulogic;
335 2 zero_gravi
 
336 11 zero_gravi
  -- misc --
337 66 zero_gravi
  signal mtime_time : std_ulogic_vector(63 downto 0); -- current system time from MTIME
338 11 zero_gravi
 
339 2 zero_gravi
begin
340
 
341 61 zero_gravi
  -- Processor IO/Peripherals Configuration -------------------------------------------------
342
  -- -------------------------------------------------------------------------------------------
343
  assert false report
344
  "NEORV32 PROCESSOR IO Configuration: " &
345
  cond_sel_string_f(IO_GPIO_EN, "GPIO ", "") &
346
  cond_sel_string_f(IO_MTIME_EN, "MTIME ", "") &
347
  cond_sel_string_f(IO_UART0_EN, "UART0 ", "") &
348
  cond_sel_string_f(IO_UART1_EN, "UART1 ", "") &
349
  cond_sel_string_f(IO_SPI_EN, "SPI ", "") &
350
  cond_sel_string_f(IO_TWI_EN, "TWI ", "") &
351
  cond_sel_string_f(boolean(IO_PWM_NUM_CH > 0), "PWM ", "") &
352
  cond_sel_string_f(IO_WDT_EN, "WDT ", "") &
353
  cond_sel_string_f(IO_TRNG_EN, "TRNG ", "") &
354
  cond_sel_string_f(IO_CFS_EN, "CFS ", "") &
355
  cond_sel_string_f(io_slink_en_c, "SLINK ", "") &
356
  cond_sel_string_f(IO_NEOLED_EN, "NEOLED ", "") &
357
  cond_sel_string_f(boolean(XIRQ_NUM_CH > 0), "XIRQ ", "") &
358 67 zero_gravi
  cond_sel_string_f(IO_GPTMR_EN, "GPTMR ", "") &
359 61 zero_gravi
  ""
360
  severity note;
361
 
362
 
363 2 zero_gravi
  -- Sanity Checks --------------------------------------------------------------------------
364
  -- -------------------------------------------------------------------------------------------
365 61 zero_gravi
  -- boot configuration --
366
  assert not (INT_BOOTLOADER_EN = true) report "NEORV32 PROCESSOR CONFIG NOTE: Boot configuration: Indirect boot via bootloader (processor-internal BOOTROM)." severity note;
367
  assert not ((INT_BOOTLOADER_EN = false) and (MEM_INT_IMEM_EN = true)) report "NEORV32 PROCESSOR CONFIG NOTE: Boot configuration: Direct boot from memory (processor-internal IMEM)." severity note;
368
  assert not ((INT_BOOTLOADER_EN = false) and (MEM_INT_IMEM_EN = false)) report "NEORV32 PROCESSOR CONFIG NOTE: Boot configuration: Direct boot from memory (processor-external (I)MEM)." severity note;
369
  --
370
  assert not ((MEM_EXT_EN = false) and (MEM_INT_DMEM_EN = false)) report "NEORV32 PROCESSOR CONFIG ERROR! Core cannot fetch data without external memory interface and internal IMEM." severity error;
371
  assert not ((MEM_EXT_EN = false) and (MEM_INT_IMEM_EN = false) and (INT_BOOTLOADER_EN = false)) report "NEORV32 PROCESSOR CONFIG ERROR! Core cannot fetch instructions without external memory interface, internal IMEM and bootloader." severity error;
372
 
373 36 zero_gravi
  -- memory system - size --
374 44 zero_gravi
  assert not ((MEM_INT_DMEM_EN = true) and (is_power_of_two_f(MEM_INT_IMEM_SIZE) = false)) report "NEORV32 PROCESSOR CONFIG WARNING! MEM_INT_IMEM_SIZE should be a power of 2 to allow optimal hardware mapping." severity warning;
375
  assert not ((MEM_INT_IMEM_EN = true) and (is_power_of_two_f(MEM_INT_DMEM_SIZE) = false)) report "NEORV32 PROCESSOR CONFIG WARNING! MEM_INT_DMEM_SIZE should be a power of 2 to allow optimal hardware mapping." severity warning;
376 61 zero_gravi
 
377 29 zero_gravi
  -- memory system - alignment --
378
  assert not (ispace_base_c(1 downto 0) /= "00") report "NEORV32 PROCESSOR CONFIG ERROR! Instruction memory space base address must be 4-byte-aligned." severity error;
379
  assert not (dspace_base_c(1 downto 0) /= "00") report "NEORV32 PROCESSOR CONFIG ERROR! Data memory space base address must be 4-byte-aligned." severity error;
380 44 zero_gravi
  assert not ((ispace_base_c(index_size_f(MEM_INT_IMEM_SIZE)-1 downto 0) /= imem_align_check_c) and (MEM_INT_IMEM_EN = true)) report "NEORV32 PROCESSOR CONFIG ERROR! Instruction memory space base address has to be aligned to IMEM size." severity error;
381
  assert not ((dspace_base_c(index_size_f(MEM_INT_DMEM_SIZE)-1 downto 0) /= dmem_align_check_c) and (MEM_INT_DMEM_EN = true)) report "NEORV32 PROCESSOR CONFIG ERROR! Data memory space base address has to be aligned to DMEM size." severity error;
382 61 zero_gravi
 
383 36 zero_gravi
  -- memory system - layout warning --
384 29 zero_gravi
  assert not (ispace_base_c /= x"00000000") report "NEORV32 PROCESSOR CONFIG WARNING! Non-default base address for instruction address space. Make sure this is sync with the software framework." severity warning;
385
  assert not (dspace_base_c /= x"80000000") report "NEORV32 PROCESSOR CONFIG WARNING! Non-default base address for data address space. Make sure this is sync with the software framework." severity warning;
386 61 zero_gravi
 
387 41 zero_gravi
  -- memory system - the i-cache is intended to accelerate instruction fetch via the external memory interface only --
388 44 zero_gravi
  assert not ((ICACHE_EN = true) and (MEM_EXT_EN = false)) report "NEORV32 PROCESSOR CONFIG NOTE. Implementing i-cache without having the external memory interface implemented. The i-cache is intended to accelerate instruction fetch via the external memory interface." severity note;
389 61 zero_gravi
 
390 59 zero_gravi
  -- on-chip debugger --
391 61 zero_gravi
  assert not (ON_CHIP_DEBUGGER_EN = true) report "NEORV32 PROCESSOR CONFIG NOTE: Implementing on-chip debugger (OCD)." severity note;
392 2 zero_gravi
 
393 59 zero_gravi
 
394 2 zero_gravi
  -- Reset Generator ------------------------------------------------------------------------
395
  -- -------------------------------------------------------------------------------------------
396 60 zero_gravi
  reset_generator: process(rstn_i, clk_i)
397 2 zero_gravi
  begin
398 60 zero_gravi
    if (rstn_i = '0') then
399 2 zero_gravi
      rstn_gen <= (others => '0');
400 60 zero_gravi
      sys_rstn <= '0';
401 2 zero_gravi
    elsif rising_edge(clk_i) then
402 60 zero_gravi
      -- keep internal reset active for at least <rstn_gen'size> clock cycles --
403 2 zero_gravi
      rstn_gen <= rstn_gen(rstn_gen'left-1 downto 0) & '1';
404 60 zero_gravi
      -- system reset: can also be triggered by watchdog and debug module --
405
      sys_rstn <= ext_rstn and wdt_rstn and dci_ndmrstn;
406 2 zero_gravi
    end if;
407
  end process reset_generator;
408
 
409 60 zero_gravi
  -- beautified external reset signal --
410
  ext_rstn <= rstn_gen(rstn_gen'left);
411 2 zero_gravi
 
412
 
413
  -- Clock Generator ------------------------------------------------------------------------
414
  -- -------------------------------------------------------------------------------------------
415
  clock_generator: process(sys_rstn, clk_i)
416
  begin
417
    if (sys_rstn = '0') then
418 60 zero_gravi
      clk_gen_en <= (others => '-');
419 2 zero_gravi
      clk_div    <= (others => '0');
420 60 zero_gravi
      clk_div_ff <= (others => '-');
421
      clk_gen    <= (others => '-');
422 2 zero_gravi
    elsif rising_edge(clk_i) then
423 23 zero_gravi
      -- fresh clocks anyone? --
424 50 zero_gravi
      clk_gen_en(0) <= wdt_cg_en;
425
      clk_gen_en(1) <= uart0_cg_en;
426
      clk_gen_en(2) <= uart1_cg_en;
427
      clk_gen_en(3) <= spi_cg_en;
428
      clk_gen_en(4) <= twi_cg_en;
429
      clk_gen_en(5) <= pwm_cg_en;
430
      clk_gen_en(6) <= cfs_cg_en;
431 61 zero_gravi
      clk_gen_en(7) <= neoled_cg_en;
432 67 zero_gravi
      clk_gen_en(8) <= gptmr_cg_en;
433 60 zero_gravi
      -- actual clock generator --
434
      if (or_reduce_f(clk_gen_en) = '1') then
435 23 zero_gravi
        clk_div <= std_ulogic_vector(unsigned(clk_div) + 1);
436 2 zero_gravi
      end if;
437 60 zero_gravi
      -- clock enables: rising edge detectors --
438 23 zero_gravi
      clk_div_ff <= clk_div;
439
      clk_gen(clk_div2_c)    <= clk_div(0)  and (not clk_div_ff(0));  -- CLK/2
440
      clk_gen(clk_div4_c)    <= clk_div(1)  and (not clk_div_ff(1));  -- CLK/4
441
      clk_gen(clk_div8_c)    <= clk_div(2)  and (not clk_div_ff(2));  -- CLK/8
442
      clk_gen(clk_div64_c)   <= clk_div(5)  and (not clk_div_ff(5));  -- CLK/64
443
      clk_gen(clk_div128_c)  <= clk_div(6)  and (not clk_div_ff(6));  -- CLK/128
444
      clk_gen(clk_div1024_c) <= clk_div(9)  and (not clk_div_ff(9));  -- CLK/1024
445
      clk_gen(clk_div2048_c) <= clk_div(10) and (not clk_div_ff(10)); -- CLK/2048
446
      clk_gen(clk_div4096_c) <= clk_div(11) and (not clk_div_ff(11)); -- CLK/4096
447
    end if;
448 60 zero_gravi
  end process clock_generator;
449 2 zero_gravi
 
450
 
451 45 zero_gravi
  -- CPU Core -------------------------------------------------------------------------------
452 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
453
  neorv32_cpu_inst: neorv32_cpu
454
  generic map (
455
    -- General --
456 41 zero_gravi
    HW_THREAD_ID                 => HW_THREAD_ID,        -- hardware thread id
457
    CPU_BOOT_ADDR                => cpu_boot_addr_c,     -- cpu boot address
458 59 zero_gravi
    CPU_DEBUG_ADDR               => dm_base_c,           -- cpu debug mode start address
459 2 zero_gravi
    -- RISC-V CPU Extensions --
460 39 zero_gravi
    CPU_EXTENSION_RISCV_A        => CPU_EXTENSION_RISCV_A,        -- implement atomic extension?
461 66 zero_gravi
    CPU_EXTENSION_RISCV_B        => CPU_EXTENSION_RISCV_B,        -- implement bit-manipulation extension?
462 8 zero_gravi
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,        -- implement compressed extension?
463
    CPU_EXTENSION_RISCV_E        => CPU_EXTENSION_RISCV_E,        -- implement embedded RF extension?
464
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,        -- implement muld/div extension?
465 15 zero_gravi
    CPU_EXTENSION_RISCV_U        => CPU_EXTENSION_RISCV_U,        -- implement user mode extension?
466 55 zero_gravi
    CPU_EXTENSION_RISCV_Zfinx    => CPU_EXTENSION_RISCV_Zfinx,    -- implement 32-bit floating-point extension (using INT reg!)
467 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
468 66 zero_gravi
    CPU_EXTENSION_RISCV_Zicntr   => CPU_EXTENSION_RISCV_Zicntr,   -- implement base counters?
469
    CPU_EXTENSION_RISCV_Zihpm    => CPU_EXTENSION_RISCV_Zihpm,    -- implement hardware performance monitors?
470 8 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
471 61 zero_gravi
    CPU_EXTENSION_RISCV_Zmmul    => CPU_EXTENSION_RISCV_Zmmul,    -- implement multiply-only M sub-extension?
472 59 zero_gravi
    CPU_EXTENSION_RISCV_DEBUG    => ON_CHIP_DEBUGGER_EN,          -- implement CPU debug mode?
473 19 zero_gravi
    -- Extension Options --
474 41 zero_gravi
    FAST_MUL_EN                  => FAST_MUL_EN,         -- use DSPs for M extension's multiplier
475
    FAST_SHIFT_EN                => FAST_SHIFT_EN,       -- use barrel shifter for shift operations
476 56 zero_gravi
    CPU_CNT_WIDTH                => CPU_CNT_WIDTH,       -- total width of CPU cycle and instret counters (0..64)
477 62 zero_gravi
    CPU_IPB_ENTRIES              => CPU_IPB_ENTRIES,     -- entries is instruction prefetch buffer, has to be a power of 2
478 15 zero_gravi
    -- Physical Memory Protection (PMP) --
479 42 zero_gravi
    PMP_NUM_REGIONS              => PMP_NUM_REGIONS,     -- number of regions (0..64)
480
    PMP_MIN_GRANULARITY          => PMP_MIN_GRANULARITY, -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
481
    -- Hardware Performance Monitors (HPM) --
482 56 zero_gravi
    HPM_NUM_CNTS                 => HPM_NUM_CNTS,        -- number of implemented HPM counters (0..29)
483 60 zero_gravi
    HPM_CNT_WIDTH                => HPM_CNT_WIDTH        -- total size of HPM counters (0..64)
484 2 zero_gravi
  )
485
  port map (
486
    -- global control --
487 12 zero_gravi
    clk_i          => clk_i,        -- global clock, rising edge
488
    rstn_i         => sys_rstn,     -- global reset, low-active, async
489 65 zero_gravi
    sleep_o        => open,         -- cpu is in sleep mode when set
490 12 zero_gravi
    -- instruction bus interface --
491
    i_bus_addr_o   => cpu_i.addr,   -- bus access address
492
    i_bus_rdata_i  => cpu_i.rdata,  -- bus read data
493
    i_bus_wdata_o  => cpu_i.wdata,  -- bus write data
494
    i_bus_ben_o    => cpu_i.ben,    -- byte enable
495
    i_bus_we_o     => cpu_i.we,     -- write enable
496
    i_bus_re_o     => cpu_i.re,     -- read enable
497 57 zero_gravi
    i_bus_lock_o   => cpu_i.lock,   -- exclusive access request
498 12 zero_gravi
    i_bus_ack_i    => cpu_i.ack,    -- bus transfer acknowledge
499
    i_bus_err_i    => cpu_i.err,    -- bus transfer error
500
    i_bus_fence_o  => cpu_i.fence,  -- executed FENCEI operation
501 35 zero_gravi
    i_bus_priv_o   => cpu_i.priv,   -- privilege level
502 12 zero_gravi
    -- data bus interface --
503
    d_bus_addr_o   => cpu_d.addr,   -- bus access address
504
    d_bus_rdata_i  => cpu_d.rdata,  -- bus read data
505
    d_bus_wdata_o  => cpu_d.wdata,  -- bus write data
506
    d_bus_ben_o    => cpu_d.ben,    -- byte enable
507
    d_bus_we_o     => cpu_d.we,     -- write enable
508
    d_bus_re_o     => cpu_d.re,     -- read enable
509 57 zero_gravi
    d_bus_lock_o   => cpu_d.lock,   -- exclusive access request
510 12 zero_gravi
    d_bus_ack_i    => cpu_d.ack,    -- bus transfer acknowledge
511
    d_bus_err_i    => cpu_d.err,    -- bus transfer error
512
    d_bus_fence_o  => cpu_d.fence,  -- executed FENCE operation
513 35 zero_gravi
    d_bus_priv_o   => cpu_d.priv,   -- privilege level
514 11 zero_gravi
    -- system time input from MTIME --
515 12 zero_gravi
    time_i         => mtime_time,   -- current system time
516 58 zero_gravi
    -- non-maskable interrupt --
517 64 zero_gravi
    msw_irq_i      => msw_irq_i,    -- machine software interrupt
518
    mext_irq_i     => mext_irq_i,   -- machine external interrupt request
519 14 zero_gravi
    mtime_irq_i    => mtime_irq,    -- machine timer interrupt
520
    -- fast interrupts (custom) --
521 47 zero_gravi
    firq_i         => fast_irq,     -- fast interrupt trigger
522 59 zero_gravi
    -- debug mode (halt) request --
523
    db_halt_req_i  => dci_halt_req
524 2 zero_gravi
  );
525
 
526 36 zero_gravi
  -- misc --
527 57 zero_gravi
  cpu_i.src <= '1'; -- initialized but unused
528
  cpu_d.src <= '0'; -- initialized but unused
529 36 zero_gravi
 
530 14 zero_gravi
  -- advanced memory control --
531
  fence_o  <= cpu_d.fence; -- indicates an executed FENCE operation
532
  fencei_o <= cpu_i.fence; -- indicates an executed FENCEI operation
533 2 zero_gravi
 
534 61 zero_gravi
  -- fast interrupts --
535 50 zero_gravi
  fast_irq(00) <= wdt_irq;       -- HIGHEST PRIORITY - watchdog timeout
536
  fast_irq(01) <= cfs_irq;       -- custom functions subsystem
537 66 zero_gravi
  fast_irq(02) <= uart0_rxd_irq; -- primary UART (UART0) RX interrupt
538
  fast_irq(03) <= uart0_txd_irq; -- primary UART (UART0) TX interrupt
539
  fast_irq(04) <= uart1_rxd_irq; -- secondary UART (UART1) RX interrupt
540
  fast_irq(05) <= uart1_txd_irq; -- secondary UART (UART1) TX interrupt
541
  fast_irq(06) <= spi_irq;       -- SPI idle
542
  fast_irq(07) <= twi_irq;       -- TWI idle
543 61 zero_gravi
  fast_irq(08) <= xirq_irq;      -- external interrupt controller
544 52 zero_gravi
  fast_irq(09) <= neoled_irq;    -- NEOLED buffer free
545 66 zero_gravi
  fast_irq(10) <= slink_rx_irq;  -- SLINK RX interrupt
546
  fast_irq(11) <= slink_tx_irq;  -- SLINK TX interrupt
547 67 zero_gravi
  fast_irq(12) <= gptmr_irq;     -- general purpose timer
548 61 zero_gravi
  --
549 62 zero_gravi
  fast_irq(13) <= '0'; -- reserved
550
  fast_irq(14) <= '0'; -- reserved
551 66 zero_gravi
  fast_irq(15) <= '0'; -- LOWEST PRIORITY - reserved
552 14 zero_gravi
 
553
 
554 41 zero_gravi
  -- CPU Instruction Cache ------------------------------------------------------------------
555
  -- -------------------------------------------------------------------------------------------
556
  neorv32_icache_inst_true:
557 44 zero_gravi
  if (ICACHE_EN = true) generate
558 45 zero_gravi
    neorv32_icache_inst: neorv32_icache
559 41 zero_gravi
    generic map (
560 47 zero_gravi
      ICACHE_NUM_BLOCKS => ICACHE_NUM_BLOCKS,   -- number of blocks (min 2), has to be a power of 2
561
      ICACHE_BLOCK_SIZE => ICACHE_BLOCK_SIZE,   -- block size in bytes (min 4), has to be a power of 2
562
      ICACHE_NUM_SETS   => ICACHE_ASSOCIATIVITY -- associativity / number of sets (1=direct_mapped), has to be a power of 2
563 41 zero_gravi
    )
564
    port map (
565
      -- global control --
566
      clk_i         => clk_i,          -- global clock, rising edge
567
      rstn_i        => sys_rstn,       -- global reset, low-active, async
568
      clear_i       => cpu_i.fence,    -- cache clear
569
      -- host controller interface --
570
      host_addr_i   => cpu_i.addr,     -- bus access address
571
      host_rdata_o  => cpu_i.rdata,    -- bus read data
572
      host_wdata_i  => cpu_i.wdata,    -- bus write data
573
      host_ben_i    => cpu_i.ben,      -- byte enable
574
      host_we_i     => cpu_i.we,       -- write enable
575
      host_re_i     => cpu_i.re,       -- read enable
576
      host_ack_o    => cpu_i.ack,      -- bus transfer acknowledge
577
      host_err_o    => cpu_i.err,      -- bus transfer error
578
      -- peripheral bus interface --
579
      bus_addr_o    => i_cache.addr,   -- bus access address
580
      bus_rdata_i   => i_cache.rdata,  -- bus read data
581
      bus_wdata_o   => i_cache.wdata,  -- bus write data
582
      bus_ben_o     => i_cache.ben,    -- byte enable
583
      bus_we_o      => i_cache.we,     -- write enable
584
      bus_re_o      => i_cache.re,     -- read enable
585
      bus_ack_i     => i_cache.ack,    -- bus transfer acknowledge
586
      bus_err_i     => i_cache.err     -- bus transfer error
587
    );
588
  end generate;
589
 
590 57 zero_gravi
  -- TODO: do not use LOCKED instruction fetch --
591
  i_cache.lock <= '0';
592
 
593 41 zero_gravi
  neorv32_icache_inst_false:
594 44 zero_gravi
  if (ICACHE_EN = false) generate
595 57 zero_gravi
    i_cache.addr  <= cpu_i.addr;
596
    cpu_i.rdata   <= i_cache.rdata;
597
    i_cache.wdata <= cpu_i.wdata;
598
    i_cache.ben   <= cpu_i.ben;
599
    i_cache.we    <= cpu_i.we;
600
    i_cache.re    <= cpu_i.re;
601
    cpu_i.ack     <= i_cache.ack;
602
    cpu_i.err     <= i_cache.err;
603 41 zero_gravi
  end generate;
604
 
605
 
606 45 zero_gravi
  -- CPU Bus Switch -------------------------------------------------------------------------
607 12 zero_gravi
  -- -------------------------------------------------------------------------------------------
608
  neorv32_busswitch_inst: neorv32_busswitch
609
  generic map (
610
    PORT_CA_READ_ONLY => false, -- set if controller port A is read-only
611
    PORT_CB_READ_ONLY => true   -- set if controller port B is read-only
612
  )
613
  port map (
614
    -- global control --
615 41 zero_gravi
    clk_i           => clk_i,          -- global clock, rising edge
616
    rstn_i          => sys_rstn,       -- global reset, low-active, async
617 12 zero_gravi
    -- controller interface a --
618 41 zero_gravi
    ca_bus_addr_i   => cpu_d.addr,     -- bus access address
619
    ca_bus_rdata_o  => cpu_d.rdata,    -- bus read data
620
    ca_bus_wdata_i  => cpu_d.wdata,    -- bus write data
621
    ca_bus_ben_i    => cpu_d.ben,      -- byte enable
622
    ca_bus_we_i     => cpu_d.we,       -- write enable
623
    ca_bus_re_i     => cpu_d.re,       -- read enable
624 57 zero_gravi
    ca_bus_lock_i   => cpu_d.lock,     -- exclusive access request
625 41 zero_gravi
    ca_bus_ack_o    => cpu_d.ack,      -- bus transfer acknowledge
626
    ca_bus_err_o    => cpu_d.err,      -- bus transfer error
627 12 zero_gravi
    -- controller interface b --
628 41 zero_gravi
    cb_bus_addr_i   => i_cache.addr,   -- bus access address
629
    cb_bus_rdata_o  => i_cache.rdata,  -- bus read data
630
    cb_bus_wdata_i  => i_cache.wdata,  -- bus write data
631
    cb_bus_ben_i    => i_cache.ben,    -- byte enable
632
    cb_bus_we_i     => i_cache.we,     -- write enable
633
    cb_bus_re_i     => i_cache.re,     -- read enable
634 57 zero_gravi
    cb_bus_lock_i   => i_cache.lock,   -- exclusive access request
635 41 zero_gravi
    cb_bus_ack_o    => i_cache.ack,    -- bus transfer acknowledge
636
    cb_bus_err_o    => i_cache.err,    -- bus transfer error
637 12 zero_gravi
    -- peripheral bus --
638 41 zero_gravi
    p_bus_src_o     => p_bus.src,      -- access source: 0 = A (data), 1 = B (instructions)
639
    p_bus_addr_o    => p_bus.addr,     -- bus access address
640
    p_bus_rdata_i   => p_bus.rdata,    -- bus read data
641
    p_bus_wdata_o   => p_bus.wdata,    -- bus write data
642
    p_bus_ben_o     => p_bus.ben,      -- byte enable
643
    p_bus_we_o      => p_bus.we,       -- write enable
644
    p_bus_re_o      => p_bus.re,       -- read enable
645 57 zero_gravi
    p_bus_lock_o    => p_bus.lock,     -- exclusive access request
646 41 zero_gravi
    p_bus_ack_i     => p_bus.ack,      -- bus transfer acknowledge
647
    p_bus_err_i     => p_bus.err       -- bus transfer error
648 12 zero_gravi
  );
649 2 zero_gravi
 
650 60 zero_gravi
  -- current CPU privilege level --
651
  p_bus.priv <= cpu_i.priv; -- note: cpu_i.priv == cpu_d.priv
652 53 zero_gravi
 
653 60 zero_gravi
  -- fence operation (unused) --
654
  p_bus.fence <= cpu_d.fence or cpu_i.fence;
655 2 zero_gravi
 
656 60 zero_gravi
  -- bus response --
657 66 zero_gravi
  bus_response: process(resp_bus)
658 60 zero_gravi
    variable rdata_v : std_ulogic_vector(data_width_c-1 downto 0);
659
    variable ack_v   : std_ulogic;
660
    variable err_v   : std_ulogic;
661
  begin
662
    rdata_v := (others => '0');
663
    ack_v   := '0';
664
    err_v   := '0';
665
    for i in resp_bus'range loop
666
      rdata_v := rdata_v or resp_bus(i).rdata; -- read data
667
      ack_v   := ack_v   or resp_bus(i).ack;   -- acknowledge
668
      err_v   := err_v   or resp_bus(i).err;   -- error
669
    end loop; -- i
670
    p_bus.rdata <= rdata_v; -- processor bus: CPU transfer data input
671
    p_bus.ack   <= ack_v;   -- processor bus: CPU transfer ACK input
672 66 zero_gravi
    p_bus.err   <= err_v;   -- processor bus: CPU transfer data bus error input
673 60 zero_gravi
  end process;
674 12 zero_gravi
 
675
 
676 66 zero_gravi
  -- Bus Keeper (BUSKEEPER) -----------------------------------------------------------------
677 57 zero_gravi
  -- -------------------------------------------------------------------------------------------
678
  neorv32_bus_keeper_inst: neorv32_bus_keeper
679
  generic map (
680 59 zero_gravi
    -- External memory interface --
681
    MEM_EXT_EN        => MEM_EXT_EN,        -- implement external memory bus interface?
682 57 zero_gravi
    -- Internal instruction memory --
683
    MEM_INT_IMEM_EN   => MEM_INT_IMEM_EN,   -- implement processor-internal instruction memory
684
    MEM_INT_IMEM_SIZE => MEM_INT_IMEM_SIZE, -- size of processor-internal instruction memory in bytes
685
    -- Internal data memory --
686
    MEM_INT_DMEM_EN   => MEM_INT_DMEM_EN,   -- implement processor-internal data memory
687
    MEM_INT_DMEM_SIZE => MEM_INT_DMEM_SIZE  -- size of processor-internal data memory in bytes
688
  )
689
  port map (
690
    -- host access --
691 66 zero_gravi
    clk_i      => clk_i,                          -- global clock line
692
    rstn_i     => sys_rstn,                       -- global reset line, low-active, use as async
693
    addr_i     => p_bus.addr,                     -- address
694
    rden_i     => io_rden,                        -- read enable
695
    wren_i     => io_wren,                        -- byte write enable
696
    data_o     => resp_bus(RESP_BUSKEEPER).rdata, -- data out
697
    ack_o      => resp_bus(RESP_BUSKEEPER).ack,   -- transfer acknowledge
698
    err_o      => resp_bus(RESP_BUSKEEPER).err,   -- transfer error
699
    -- bus monitoring --
700
    bus_addr_i => p_bus.addr,                     -- address
701
    bus_rden_i => p_bus.re,                       -- read enable
702
    bus_wren_i => p_bus.we,                       -- write enable
703
    bus_ack_i  => p_bus.ack,                      -- transfer acknowledge from bus system
704
    bus_err_i  => p_bus.err                       -- transfer error from bus system
705 57 zero_gravi
  );
706 36 zero_gravi
 
707 57 zero_gravi
 
708 2 zero_gravi
  -- Processor-Internal Instruction Memory (IMEM) -------------------------------------------
709
  -- -------------------------------------------------------------------------------------------
710
  neorv32_int_imem_inst_true:
711 44 zero_gravi
  if (MEM_INT_IMEM_EN = true) generate
712 2 zero_gravi
    neorv32_int_imem_inst: neorv32_imem
713
    generic map (
714 61 zero_gravi
      IMEM_BASE    => imem_base_c,          -- memory base address
715
      IMEM_SIZE    => MEM_INT_IMEM_SIZE,    -- processor-internal instruction memory size in bytes
716
      IMEM_AS_IROM => not INT_BOOTLOADER_EN -- implement IMEM as pre-initialized read-only memory?
717 2 zero_gravi
    )
718
    port map (
719 60 zero_gravi
      clk_i  => clk_i,                     -- global clock line
720
      rden_i => p_bus.re,                  -- read enable
721
      wren_i => p_bus.we,                  -- write enable
722
      ben_i  => p_bus.ben,                 -- byte write enable
723
      addr_i => p_bus.addr,                -- address
724
      data_i => p_bus.wdata,               -- data in
725
      data_o => resp_bus(RESP_IMEM).rdata, -- data out
726
      ack_o  => resp_bus(RESP_IMEM).ack    -- transfer acknowledge
727 2 zero_gravi
    );
728 60 zero_gravi
    resp_bus(RESP_IMEM).err <= '0'; -- no access error possible
729 2 zero_gravi
  end generate;
730
 
731
  neorv32_int_imem_inst_false:
732 44 zero_gravi
  if (MEM_INT_IMEM_EN = false) generate
733 60 zero_gravi
    resp_bus(RESP_IMEM) <= resp_bus_entry_terminate_c;
734 2 zero_gravi
  end generate;
735
 
736
 
737
  -- Processor-Internal Data Memory (DMEM) --------------------------------------------------
738
  -- -------------------------------------------------------------------------------------------
739
  neorv32_int_dmem_inst_true:
740 44 zero_gravi
  if (MEM_INT_DMEM_EN = true) generate
741 2 zero_gravi
    neorv32_int_dmem_inst: neorv32_dmem
742
    generic map (
743 23 zero_gravi
      DMEM_BASE => dmem_base_c,      -- memory base address
744 2 zero_gravi
      DMEM_SIZE => MEM_INT_DMEM_SIZE -- processor-internal data memory size in bytes
745
    )
746
    port map (
747 60 zero_gravi
      clk_i  => clk_i,                     -- global clock line
748
      rden_i => p_bus.re,                  -- read enable
749
      wren_i => p_bus.we,                  -- write enable
750
      ben_i  => p_bus.ben,                 -- byte write enable
751
      addr_i => p_bus.addr,                -- address
752
      data_i => p_bus.wdata,               -- data in
753
      data_o => resp_bus(RESP_DMEM).rdata, -- data out
754
      ack_o  => resp_bus(RESP_DMEM).ack    -- transfer acknowledge
755 2 zero_gravi
    );
756 60 zero_gravi
    resp_bus(RESP_DMEM).err <= '0'; -- no access error possible
757 2 zero_gravi
  end generate;
758
 
759
  neorv32_int_dmem_inst_false:
760 44 zero_gravi
  if (MEM_INT_DMEM_EN = false) generate
761 60 zero_gravi
    resp_bus(RESP_DMEM) <= resp_bus_entry_terminate_c;
762 2 zero_gravi
  end generate;
763
 
764
 
765
  -- Processor-Internal Bootloader ROM (BOOTROM) --------------------------------------------
766
  -- -------------------------------------------------------------------------------------------
767
  neorv32_boot_rom_inst_true:
768 61 zero_gravi
  if (INT_BOOTLOADER_EN = true) generate
769 2 zero_gravi
    neorv32_boot_rom_inst: neorv32_boot_rom
770 23 zero_gravi
    generic map (
771 61 zero_gravi
      BOOTROM_BASE => boot_rom_base_c -- boot ROM base address
772 23 zero_gravi
    )
773 2 zero_gravi
    port map (
774 60 zero_gravi
      clk_i  => clk_i,                        -- global clock line
775
      rden_i => p_bus.re,                     -- read enable
776
      addr_i => p_bus.addr,                   -- address
777
      data_o => resp_bus(RESP_BOOTROM).rdata, -- data out
778
      ack_o  => resp_bus(RESP_BOOTROM).ack    -- transfer acknowledge
779 2 zero_gravi
    );
780 60 zero_gravi
    resp_bus(RESP_BOOTROM).err <= '0'; -- no access error possible
781 2 zero_gravi
  end generate;
782
 
783
  neorv32_boot_rom_inst_false:
784 61 zero_gravi
  if (INT_BOOTLOADER_EN = false) generate
785 60 zero_gravi
    resp_bus(RESP_BOOTROM) <= resp_bus_entry_terminate_c;
786 2 zero_gravi
  end generate;
787
 
788
 
789
  -- External Wishbone Gateway (WISHBONE) ---------------------------------------------------
790
  -- -------------------------------------------------------------------------------------------
791
  neorv32_wishbone_inst_true:
792 44 zero_gravi
  if (MEM_EXT_EN = true) generate
793 2 zero_gravi
    neorv32_wishbone_inst: neorv32_wishbone
794
    generic map (
795 23 zero_gravi
      -- Internal instruction memory --
796 62 zero_gravi
      MEM_INT_IMEM_EN   => MEM_INT_IMEM_EN,    -- implement processor-internal instruction memory
797
      MEM_INT_IMEM_SIZE => MEM_INT_IMEM_SIZE,  -- size of processor-internal instruction memory in bytes
798 23 zero_gravi
      -- Internal data memory --
799 62 zero_gravi
      MEM_INT_DMEM_EN   => MEM_INT_DMEM_EN,    -- implement processor-internal data memory
800
      MEM_INT_DMEM_SIZE => MEM_INT_DMEM_SIZE,  -- size of processor-internal data memory in bytes
801
      -- Interface Configuration --
802
      BUS_TIMEOUT       => MEM_EXT_TIMEOUT,    -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
803
      PIPE_MODE         => MEM_EXT_PIPE_MODE,  -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
804
      BIG_ENDIAN        => MEM_EXT_BIG_ENDIAN, -- byte order: true=big-endian, false=little-endian
805
      ASYNC_RX          => MEM_EXT_ASYNC_RX    -- use register buffer for RX data when false
806 2 zero_gravi
    )
807
    port map (
808
      -- global control --
809 60 zero_gravi
      clk_i     => clk_i,                         -- global clock line
810
      rstn_i    => sys_rstn,                      -- global reset line, low-active
811 2 zero_gravi
      -- host access --
812 60 zero_gravi
      src_i     => p_bus.src,                     -- access type (0: data, 1:instruction)
813
      addr_i    => p_bus.addr,                    -- address
814
      rden_i    => p_bus.re,                      -- read enable
815
      wren_i    => p_bus.we,                      -- write enable
816
      ben_i     => p_bus.ben,                     -- byte write enable
817
      data_i    => p_bus.wdata,                   -- data in
818
      data_o    => resp_bus(RESP_WISHBONE).rdata, -- data out
819
      lock_i    => p_bus.lock,                    -- exclusive access request
820
      ack_o     => resp_bus(RESP_WISHBONE).ack,   -- transfer acknowledge
821
      err_o     => resp_bus(RESP_WISHBONE).err,   -- transfer error
822
      priv_i    => p_bus.priv,                    -- current CPU privilege level
823 2 zero_gravi
      -- wishbone interface --
824 60 zero_gravi
      wb_tag_o  => wb_tag_o,                      -- request tag
825
      wb_adr_o  => wb_adr_o,                      -- address
826
      wb_dat_i  => wb_dat_i,                      -- read data
827
      wb_dat_o  => wb_dat_o,                      -- write data
828
      wb_we_o   => wb_we_o,                       -- read/write
829
      wb_sel_o  => wb_sel_o,                      -- byte enable
830
      wb_stb_o  => wb_stb_o,                      -- strobe
831
      wb_cyc_o  => wb_cyc_o,                      -- valid cycle
832
      wb_lock_o => wb_lock_o,                     -- exclusive access request
833
      wb_ack_i  => wb_ack_i,                      -- transfer acknowledge
834
      wb_err_i  => wb_err_i                       -- transfer error
835 2 zero_gravi
    );
836
  end generate;
837
 
838
  neorv32_wishbone_inst_false:
839 44 zero_gravi
  if (MEM_EXT_EN = false) generate
840 60 zero_gravi
    resp_bus(RESP_WISHBONE) <= resp_bus_entry_terminate_c;
841 2 zero_gravi
    --
842 60 zero_gravi
    wb_adr_o  <= (others => '0');
843
    wb_dat_o  <= (others => '0');
844
    wb_we_o   <= '0';
845
    wb_sel_o  <= (others => '0');
846
    wb_stb_o  <= '0';
847
    wb_cyc_o  <= '0';
848
    wb_lock_o <= '0';
849
    wb_tag_o  <= (others => '0');
850 2 zero_gravi
  end generate;
851
 
852
 
853
  -- IO Access? -----------------------------------------------------------------------------
854
  -- -------------------------------------------------------------------------------------------
855 12 zero_gravi
  io_acc  <= '1' when (p_bus.addr(data_width_c-1 downto index_size_f(io_size_c)) = io_base_c(data_width_c-1 downto index_size_f(io_size_c))) else '0';
856 40 zero_gravi
  io_rden <= io_acc and p_bus.re and (not p_bus.src); -- PMA: no_execute for IO region
857 47 zero_gravi
  -- the default NEORV32 peripheral/IO devices in the IO area can only be written in word mode (reduces HW complexity)
858 60 zero_gravi
  io_wren <= io_acc and p_bus.we and and_reduce_f(p_bus.ben) and (not p_bus.src); -- PMA: write32 only, no_execute for IO region
859 2 zero_gravi
 
860
 
861 47 zero_gravi
  -- Custom Functions Subsystem (CFS) -------------------------------------------------------
862
  -- -------------------------------------------------------------------------------------------
863
  neorv32_cfs_inst_true:
864
  if (IO_CFS_EN = true) generate
865
    neorv32_cfs_inst: neorv32_cfs
866
    generic map (
867 61 zero_gravi
      CFS_CONFIG   => IO_CFS_CONFIG,  -- custom CFS configuration generic
868 52 zero_gravi
      CFS_IN_SIZE  => IO_CFS_IN_SIZE, -- size of CFS input conduit in bits
869
      CFS_OUT_SIZE => IO_CFS_OUT_SIZE -- size of CFS output conduit in bits
870 47 zero_gravi
    )
871
    port map (
872
      -- host access --
873 60 zero_gravi
      clk_i       => clk_i,                    -- global clock line
874
      rstn_i      => sys_rstn,                 -- global reset line, low-active, use as async
875
      addr_i      => p_bus.addr,               -- address
876
      rden_i      => io_rden,                  -- read enable
877
      wren_i      => io_wren,                  -- byte write enable
878
      data_i      => p_bus.wdata,              -- data in
879
      data_o      => resp_bus(RESP_CFS).rdata, -- data out
880
      ack_o       => resp_bus(RESP_CFS).ack,   -- transfer acknowledge
881 47 zero_gravi
      -- clock generator --
882 60 zero_gravi
      clkgen_en_o => cfs_cg_en,                -- enable clock generator
883
      clkgen_i    => clk_gen,                  -- "clock" inputs
884 47 zero_gravi
      -- interrupt --
885 60 zero_gravi
      irq_o       => cfs_irq,                  -- interrupt request
886 47 zero_gravi
      -- custom io (conduit) --
887 60 zero_gravi
      cfs_in_i    => cfs_in_i,                 -- custom inputs
888
      cfs_out_o   => cfs_out_o                 -- custom outputs
889 47 zero_gravi
    );
890 60 zero_gravi
    resp_bus(RESP_CFS).err <= '0'; -- no access error possible
891 47 zero_gravi
  end generate;
892
 
893
  neorv32_cfs_inst_false:
894
  if (IO_CFS_EN = false) generate
895 60 zero_gravi
    resp_bus(RESP_CFS) <= resp_bus_entry_terminate_c;
896 47 zero_gravi
    cfs_cg_en <= '0';
897
    cfs_irq   <= '0';
898
    cfs_out_o <= (others => '0');
899
  end generate;
900
 
901
 
902 2 zero_gravi
  -- General Purpose Input/Output Port (GPIO) -----------------------------------------------
903
  -- -------------------------------------------------------------------------------------------
904
  neorv32_gpio_inst_true:
905 44 zero_gravi
  if (IO_GPIO_EN = true) generate
906 2 zero_gravi
    neorv32_gpio_inst: neorv32_gpio
907
    port map (
908
      -- host access --
909 60 zero_gravi
      clk_i  => clk_i,                     -- global clock line
910
      addr_i => p_bus.addr,                -- address
911
      rden_i => io_rden,                   -- read enable
912
      wren_i => io_wren,                   -- write enable
913
      data_i => p_bus.wdata,               -- data in
914
      data_o => resp_bus(RESP_GPIO).rdata, -- data out
915
      ack_o  => resp_bus(RESP_GPIO).ack,   -- transfer acknowledge
916 2 zero_gravi
      -- parallel io --
917
      gpio_o => gpio_o,
918 61 zero_gravi
      gpio_i => gpio_i
919 2 zero_gravi
    );
920 60 zero_gravi
    resp_bus(RESP_GPIO).err <= '0'; -- no access error possible
921 2 zero_gravi
  end generate;
922
 
923
  neorv32_gpio_inst_false:
924 44 zero_gravi
  if (IO_GPIO_EN = false) generate
925 60 zero_gravi
    resp_bus(RESP_GPIO) <= resp_bus_entry_terminate_c;
926 61 zero_gravi
    gpio_o <= (others => '0');
927 2 zero_gravi
  end generate;
928
 
929
 
930
  -- Watch Dog Timer (WDT) ------------------------------------------------------------------
931
  -- -------------------------------------------------------------------------------------------
932
  neorv32_wdt_inst_true:
933 44 zero_gravi
  if (IO_WDT_EN = true) generate
934 2 zero_gravi
    neorv32_wdt_inst: neorv32_wdt
935
    port map (
936
      -- host access --
937 60 zero_gravi
      clk_i       => clk_i,                    -- global clock line
938
      rstn_i      => ext_rstn,                 -- global reset line, low-active
939
      rden_i      => io_rden,                  -- read enable
940
      wren_i      => io_wren,                  -- write enable
941
      addr_i      => p_bus.addr,               -- address
942
      data_i      => p_bus.wdata,              -- data in
943
      data_o      => resp_bus(RESP_WDT).rdata, -- data out
944
      ack_o       => resp_bus(RESP_WDT).ack,   -- transfer acknowledge
945 2 zero_gravi
      -- clock generator --
946 60 zero_gravi
      clkgen_en_o => wdt_cg_en,                -- enable clock generator
947 2 zero_gravi
      clkgen_i    => clk_gen,
948
      -- timeout event --
949 60 zero_gravi
      irq_o       => wdt_irq,                  -- timeout IRQ
950
      rstn_o      => wdt_rstn                  -- timeout reset, low_active, use it as async!
951 2 zero_gravi
    );
952 60 zero_gravi
    resp_bus(RESP_WDT).err <= '0'; -- no access error possible
953 2 zero_gravi
  end generate;
954
 
955
  neorv32_wdt_inst_false:
956 44 zero_gravi
  if (IO_WDT_EN = false) generate
957 60 zero_gravi
    resp_bus(RESP_WDT) <= resp_bus_entry_terminate_c;
958 2 zero_gravi
    wdt_irq   <= '0';
959
    wdt_rstn  <= '1';
960
    wdt_cg_en <= '0';
961
  end generate;
962
 
963
 
964
  -- Machine System Timer (MTIME) -----------------------------------------------------------
965
  -- -------------------------------------------------------------------------------------------
966
  neorv32_mtime_inst_true:
967 44 zero_gravi
  if (IO_MTIME_EN = true) generate
968 2 zero_gravi
    neorv32_mtime_inst: neorv32_mtime
969
    port map (
970
      -- host access --
971 60 zero_gravi
      clk_i  => clk_i,                      -- global clock line
972
      addr_i => p_bus.addr,                 -- address
973
      rden_i => io_rden,                    -- read enable
974
      wren_i => io_wren,                    -- write enable
975
      data_i => p_bus.wdata,                -- data in
976
      data_o => resp_bus(RESP_MTIME).rdata, -- data out
977
      ack_o  => resp_bus(RESP_MTIME).ack,   -- transfer acknowledge
978 11 zero_gravi
      -- time output for CPU --
979 60 zero_gravi
      time_o => mtime_time,                 -- current system time
980 2 zero_gravi
      -- interrupt --
981 60 zero_gravi
      irq_o  => mtime_irq                   -- interrupt request
982 2 zero_gravi
    );
983 60 zero_gravi
    resp_bus(RESP_MTIME).err <= '0'; -- no access error possible
984 2 zero_gravi
  end generate;
985
 
986
  neorv32_mtime_inst_false:
987 44 zero_gravi
  if (IO_MTIME_EN = false) generate
988 60 zero_gravi
    resp_bus(RESP_MTIME) <= resp_bus_entry_terminate_c;
989
    mtime_time <= mtime_i; -- use external machine timer time signal
990 64 zero_gravi
    mtime_irq  <= mtime_irq_i; -- use external machine timer interrupt
991 2 zero_gravi
  end generate;
992
 
993
 
994 60 zero_gravi
  -- system time output LO --
995
  mtime_sync: process(clk_i)
996
  begin
997
    if rising_edge(clk_i) then
998
      -- buffer low word one clock cycle to compensate for MTIME's 1-cycle delay
999
      -- when overflowing from low-word to high-word -> only relevant for processor-external devices
1000
      -- processor-internal devices (= the CPU) do not care about this delay offset as 64-bit MTIME.TIME
1001
      -- cannot be accessed within a single cycle
1002
      if (IO_MTIME_EN = true) then
1003
        mtime_o(31 downto 0) <= mtime_time(31 downto 0);
1004
      else
1005
        mtime_o(31 downto 0) <= (others => '0');
1006
      end if;
1007
    end if;
1008
  end process mtime_sync;
1009 59 zero_gravi
 
1010 60 zero_gravi
  -- system time output HI --
1011
  mtime_o(63 downto 32) <= mtime_time(63 downto 32) when (IO_MTIME_EN = true) else (others => '0');
1012
 
1013
 
1014 51 zero_gravi
  -- Primary Universal Asynchronous Receiver/Transmitter (UART0) ----------------------------
1015 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1016 50 zero_gravi
  neorv32_uart0_inst_true:
1017
  if (IO_UART0_EN = true) generate
1018
    neorv32_uart0_inst: neorv32_uart
1019
    generic map (
1020 65 zero_gravi
      UART_PRIMARY => true,             -- true = primary UART (UART0), false = secondary UART (UART1)
1021
      UART_RX_FIFO => IO_UART0_RX_FIFO, -- RX fifo depth, has to be a power of two, min 1
1022
      UART_TX_FIFO => IO_UART0_TX_FIFO  -- TX fifo depth, has to be a power of two, min 1
1023 50 zero_gravi
    )
1024 2 zero_gravi
    port map (
1025
      -- host access --
1026 60 zero_gravi
      clk_i       => clk_i,                      -- global clock line
1027
      addr_i      => p_bus.addr,                 -- address
1028
      rden_i      => io_rden,                    -- read enable
1029
      wren_i      => io_wren,                    -- write enable
1030
      data_i      => p_bus.wdata,                -- data in
1031
      data_o      => resp_bus(RESP_UART0).rdata, -- data out
1032
      ack_o       => resp_bus(RESP_UART0).ack,   -- transfer acknowledge
1033 2 zero_gravi
      -- clock generator --
1034 60 zero_gravi
      clkgen_en_o => uart0_cg_en,                -- enable clock generator
1035 2 zero_gravi
      clkgen_i    => clk_gen,
1036
      -- com lines --
1037 50 zero_gravi
      uart_txd_o  => uart0_txd_o,
1038
      uart_rxd_i  => uart0_rxd_i,
1039 51 zero_gravi
      -- hardware flow control --
1040 60 zero_gravi
      uart_rts_o  => uart0_rts_o,                -- UART.RX ready to receive ("RTR"), low-active, optional
1041
      uart_cts_i  => uart0_cts_i,                -- UART.TX allowed to transmit, low-active, optional
1042 2 zero_gravi
      -- interrupts --
1043 60 zero_gravi
      irq_rxd_o   => uart0_rxd_irq,              -- uart data received interrupt
1044
      irq_txd_o   => uart0_txd_irq               -- uart transmission done interrupt
1045 2 zero_gravi
    );
1046 60 zero_gravi
    resp_bus(RESP_UART0).err <= '0'; -- no access error possible
1047 2 zero_gravi
  end generate;
1048
 
1049 50 zero_gravi
  neorv32_uart0_inst_false:
1050
  if (IO_UART0_EN = false) generate
1051 60 zero_gravi
    resp_bus(RESP_UART0) <= resp_bus_entry_terminate_c;
1052 50 zero_gravi
    uart0_txd_o   <= '0';
1053 51 zero_gravi
    uart0_rts_o   <= '0';
1054 50 zero_gravi
    uart0_cg_en   <= '0';
1055
    uart0_rxd_irq <= '0';
1056
    uart0_txd_irq <= '0';
1057 2 zero_gravi
  end generate;
1058
 
1059
 
1060 51 zero_gravi
  -- Secondary Universal Asynchronous Receiver/Transmitter (UART1) --------------------------
1061 50 zero_gravi
  -- -------------------------------------------------------------------------------------------
1062
  neorv32_uart1_inst_true:
1063
  if (IO_UART1_EN = true) generate
1064
    neorv32_uart1_inst: neorv32_uart
1065
    generic map (
1066 65 zero_gravi
      UART_PRIMARY => false,            -- true = primary UART (UART0), false = secondary UART (UART1)
1067
      UART_RX_FIFO => IO_UART1_RX_FIFO, -- RX fifo depth, has to be a power of two, min 1
1068
      UART_TX_FIFO => IO_UART1_TX_FIFO  -- TX fifo depth, has to be a power of two, min 1
1069 50 zero_gravi
    )
1070
    port map (
1071
      -- host access --
1072 60 zero_gravi
      clk_i       => clk_i,                      -- global clock line
1073
      addr_i      => p_bus.addr,                 -- address
1074
      rden_i      => io_rden,                    -- read enable
1075
      wren_i      => io_wren,                    -- write enable
1076
      data_i      => p_bus.wdata,                -- data in
1077
      data_o      => resp_bus(RESP_UART1).rdata, -- data out
1078
      ack_o       => resp_bus(RESP_UART1).ack,   -- transfer acknowledge
1079 50 zero_gravi
      -- clock generator --
1080 60 zero_gravi
      clkgen_en_o => uart1_cg_en,                -- enable clock generator
1081 50 zero_gravi
      clkgen_i    => clk_gen,
1082
      -- com lines --
1083
      uart_txd_o  => uart1_txd_o,
1084
      uart_rxd_i  => uart1_rxd_i,
1085 51 zero_gravi
      -- hardware flow control --
1086 60 zero_gravi
      uart_rts_o  => uart1_rts_o,                -- UART.RX ready to receive ("RTR"), low-active, optional
1087
      uart_cts_i  => uart1_cts_i,                -- UART.TX allowed to transmit, low-active, optional
1088 50 zero_gravi
      -- interrupts --
1089 60 zero_gravi
      irq_rxd_o   => uart1_rxd_irq,              -- uart data received interrupt
1090
      irq_txd_o   => uart1_txd_irq               -- uart transmission done interrupt
1091 50 zero_gravi
    );
1092 60 zero_gravi
    resp_bus(RESP_UART1).err <= '0'; -- no access error possible
1093 50 zero_gravi
  end generate;
1094
 
1095
  neorv32_uart1_inst_false:
1096
  if (IO_UART1_EN = false) generate
1097 60 zero_gravi
    resp_bus(RESP_UART1) <= resp_bus_entry_terminate_c;
1098 50 zero_gravi
    uart1_txd_o   <= '0';
1099 51 zero_gravi
    uart1_rts_o   <= '0';
1100 50 zero_gravi
    uart1_cg_en   <= '0';
1101
    uart1_rxd_irq <= '0';
1102
    uart1_txd_irq <= '0';
1103
  end generate;
1104
 
1105
 
1106 2 zero_gravi
  -- Serial Peripheral Interface (SPI) ------------------------------------------------------
1107
  -- -------------------------------------------------------------------------------------------
1108
  neorv32_spi_inst_true:
1109 44 zero_gravi
  if (IO_SPI_EN = true) generate
1110 2 zero_gravi
    neorv32_spi_inst: neorv32_spi
1111
    port map (
1112
      -- host access --
1113 60 zero_gravi
      clk_i       => clk_i,                    -- global clock line
1114
      addr_i      => p_bus.addr,               -- address
1115
      rden_i      => io_rden,                  -- read enable
1116
      wren_i      => io_wren,                  -- write enable
1117
      data_i      => p_bus.wdata,              -- data in
1118
      data_o      => resp_bus(RESP_SPI).rdata, -- data out
1119
      ack_o       => resp_bus(RESP_SPI).ack,   -- transfer acknowledge
1120 2 zero_gravi
      -- clock generator --
1121 60 zero_gravi
      clkgen_en_o => spi_cg_en,                -- enable clock generator
1122 2 zero_gravi
      clkgen_i    => clk_gen,
1123
      -- com lines --
1124 60 zero_gravi
      spi_sck_o   => spi_sck_o,                -- SPI serial clock
1125
      spi_sdo_o   => spi_sdo_o,                -- controller data out, peripheral data in
1126
      spi_sdi_i   => spi_sdi_i,                -- controller data in, peripheral data out
1127
      spi_csn_o   => spi_csn_o,                -- SPI CS
1128 2 zero_gravi
      -- interrupt --
1129 60 zero_gravi
      irq_o       => spi_irq                   -- transmission done interrupt
1130 2 zero_gravi
    );
1131 60 zero_gravi
    resp_bus(RESP_SPI).err <= '0'; -- no access error possible
1132 2 zero_gravi
  end generate;
1133
 
1134
  neorv32_spi_inst_false:
1135 44 zero_gravi
  if (IO_SPI_EN = false) generate
1136 60 zero_gravi
    resp_bus(RESP_SPI) <= resp_bus_entry_terminate_c;
1137
    spi_sck_o <= '0';
1138
    spi_sdo_o <= '0';
1139
    spi_csn_o <= (others => '1'); -- CSn lines are low-active
1140
    spi_cg_en <= '0';
1141
    spi_irq   <= '0';
1142 2 zero_gravi
  end generate;
1143
 
1144
 
1145
  -- Two-Wire Interface (TWI) ---------------------------------------------------------------
1146
  -- -------------------------------------------------------------------------------------------
1147
  neorv32_twi_inst_true:
1148 44 zero_gravi
  if (IO_TWI_EN = true) generate
1149 2 zero_gravi
    neorv32_twi_inst: neorv32_twi
1150
    port map (
1151
      -- host access --
1152 60 zero_gravi
      clk_i       => clk_i,                    -- global clock line
1153
      addr_i      => p_bus.addr,               -- address
1154
      rden_i      => io_rden,                  -- read enable
1155
      wren_i      => io_wren,                  -- write enable
1156
      data_i      => p_bus.wdata,              -- data in
1157
      data_o      => resp_bus(RESP_TWI).rdata, -- data out
1158
      ack_o       => resp_bus(RESP_TWI).ack,   -- transfer acknowledge
1159 2 zero_gravi
      -- clock generator --
1160 60 zero_gravi
      clkgen_en_o => twi_cg_en,                -- enable clock generator
1161 2 zero_gravi
      clkgen_i    => clk_gen,
1162
      -- com lines --
1163 60 zero_gravi
      twi_sda_io  => twi_sda_io,               -- serial data line
1164
      twi_scl_io  => twi_scl_io,               -- serial clock line
1165 2 zero_gravi
      -- interrupt --
1166 60 zero_gravi
      irq_o       => twi_irq                   -- transfer done IRQ
1167 2 zero_gravi
    );
1168 60 zero_gravi
    resp_bus(RESP_TWI).err <= '0'; -- no access error possible
1169 2 zero_gravi
  end generate;
1170
 
1171
  neorv32_twi_inst_false:
1172 44 zero_gravi
  if (IO_TWI_EN = false) generate
1173 60 zero_gravi
    resp_bus(RESP_TWI) <= resp_bus_entry_terminate_c;
1174 65 zero_gravi
    twi_sda_io <= 'Z';
1175
    twi_scl_io <= 'Z';
1176 2 zero_gravi
    twi_cg_en  <= '0';
1177
    twi_irq    <= '0';
1178
  end generate;
1179
 
1180
 
1181
  -- Pulse-Width Modulation Controller (PWM) ------------------------------------------------
1182
  -- -------------------------------------------------------------------------------------------
1183
  neorv32_pwm_inst_true:
1184 60 zero_gravi
  if (IO_PWM_NUM_CH > 0) generate
1185 2 zero_gravi
    neorv32_pwm_inst: neorv32_pwm
1186 60 zero_gravi
    generic map (
1187
      NUM_CHANNELS => IO_PWM_NUM_CH -- number of PWM channels (0..60)
1188
    )
1189 2 zero_gravi
    port map (
1190
      -- host access --
1191 60 zero_gravi
      clk_i       => clk_i,                    -- global clock line
1192
      addr_i      => p_bus.addr,               -- address
1193
      rden_i      => io_rden,                  -- read enable
1194
      wren_i      => io_wren,                  -- write enable
1195
      data_i      => p_bus.wdata,              -- data in
1196
      data_o      => resp_bus(RESP_PWM).rdata, -- data out
1197
      ack_o       => resp_bus(RESP_PWM).ack,   -- transfer acknowledge
1198 2 zero_gravi
      -- clock generator --
1199 60 zero_gravi
      clkgen_en_o => pwm_cg_en,                -- enable clock generator
1200 2 zero_gravi
      clkgen_i    => clk_gen,
1201
      -- pwm output channels --
1202
      pwm_o       => pwm_o
1203
    );
1204 60 zero_gravi
    resp_bus(RESP_PWM).err <= '0'; -- no access error possible
1205 2 zero_gravi
  end generate;
1206
 
1207
  neorv32_pwm_inst_false:
1208 60 zero_gravi
  if (IO_PWM_NUM_CH = 0) generate
1209
    resp_bus(RESP_PWM) <= resp_bus_entry_terminate_c;
1210 2 zero_gravi
    pwm_cg_en <= '0';
1211
    pwm_o     <= (others => '0');
1212
  end generate;
1213
 
1214
 
1215
  -- True Random Number Generator (TRNG) ----------------------------------------------------
1216
  -- -------------------------------------------------------------------------------------------
1217
  neorv32_trng_inst_true:
1218 44 zero_gravi
  if (IO_TRNG_EN = true) generate
1219 2 zero_gravi
    neorv32_trng_inst: neorv32_trng
1220
    port map (
1221
      -- host access --
1222 60 zero_gravi
      clk_i  => clk_i,                     -- global clock line
1223
      addr_i => p_bus.addr,                -- address
1224
      rden_i => io_rden,                   -- read enable
1225
      wren_i => io_wren,                   -- write enable
1226
      data_i => p_bus.wdata,               -- data in
1227
      data_o => resp_bus(RESP_TRNG).rdata, -- data out
1228
      ack_o  => resp_bus(RESP_TRNG).ack    -- transfer acknowledge
1229 2 zero_gravi
    );
1230 60 zero_gravi
    resp_bus(RESP_TRNG).err <= '0'; -- no access error possible
1231 2 zero_gravi
  end generate;
1232
 
1233
  neorv32_trng_inst_false:
1234 44 zero_gravi
  if (IO_TRNG_EN = false) generate
1235 60 zero_gravi
    resp_bus(RESP_TRNG) <= resp_bus_entry_terminate_c;
1236 2 zero_gravi
  end generate;
1237
 
1238
 
1239 52 zero_gravi
  -- Smart LED (WS2811/WS2812) Interface (NEOLED) -------------------------------------------
1240
  -- -------------------------------------------------------------------------------------------
1241
  neorv32_neoled_inst_true:
1242
  if (IO_NEOLED_EN = true) generate
1243
    neorv32_neoled_inst: neorv32_neoled
1244 62 zero_gravi
    generic map (
1245
      FIFO_DEPTH => IO_NEOLED_TX_FIFO -- TX FIFO depth (1..32k, power of two)
1246
    )
1247 52 zero_gravi
    port map (
1248
      -- host access --
1249 60 zero_gravi
      clk_i       => clk_i,                       -- global clock line
1250
      addr_i      => p_bus.addr,                  -- address
1251
      rden_i      => io_rden,                     -- read enable
1252
      wren_i      => io_wren,                     -- write enable
1253
      data_i      => p_bus.wdata,                 -- data in
1254
      data_o      => resp_bus(RESP_NEOLED).rdata, -- data out
1255
      ack_o       => resp_bus(RESP_NEOLED).ack,   -- transfer acknowledge
1256 52 zero_gravi
      -- clock generator --
1257 60 zero_gravi
      clkgen_en_o => neoled_cg_en,                -- enable clock generator
1258 52 zero_gravi
      clkgen_i    => clk_gen,
1259
      -- interrupt --
1260 60 zero_gravi
      irq_o       => neoled_irq,                  -- interrupt request
1261 52 zero_gravi
      -- NEOLED output --
1262 60 zero_gravi
      neoled_o    => neoled_o                     -- serial async data line
1263 52 zero_gravi
    );
1264 60 zero_gravi
    resp_bus(RESP_NEOLED).err <= '0'; -- no access error possible
1265 52 zero_gravi
  end generate;
1266
 
1267
  neorv32_neoled_inst_false:
1268
  if (IO_NEOLED_EN = false) generate
1269 60 zero_gravi
    resp_bus(RESP_NEOLED) <= resp_bus_entry_terminate_c;
1270 52 zero_gravi
    neoled_cg_en <= '0';
1271
    neoled_irq   <= '0';
1272
    neoled_o     <= '0';
1273
  end generate;
1274
 
1275
 
1276 61 zero_gravi
  -- Stream Link Interface (SLINK) ----------------------------------------------------------
1277
  -- -------------------------------------------------------------------------------------------
1278
  neorv32_slink_inst_true:
1279
  if (io_slink_en_c = true) generate
1280
    neorv32_slink_inst: neorv32_slink
1281
    generic map (
1282
      SLINK_NUM_TX  => SLINK_NUM_TX,  -- number of TX links (0..8)
1283
      SLINK_NUM_RX  => SLINK_NUM_RX,  -- number of TX links (0..8)
1284
      SLINK_TX_FIFO => SLINK_TX_FIFO, -- TX fifo depth, has to be a power of two
1285
      SLINK_RX_FIFO => SLINK_RX_FIFO  -- RX fifo depth, has to be a power of two
1286
    )
1287
    port map (
1288
      -- host access --
1289
      clk_i          => clk_i,                      -- global clock line
1290
      addr_i         => p_bus.addr,                 -- address
1291
      rden_i         => io_rden,                    -- read enable
1292
      wren_i         => io_wren,                    -- write enable
1293
      data_i         => p_bus.wdata,                -- data in
1294
      data_o         => resp_bus(RESP_SLINK).rdata, -- data out
1295
      ack_o          => resp_bus(RESP_SLINK).ack,   -- transfer acknowledge
1296
      -- interrupt --
1297
      irq_tx_o       => slink_tx_irq,               -- transmission done
1298
      irq_rx_o       => slink_rx_irq,               -- data received
1299
      -- TX stream interfaces --
1300
      slink_tx_dat_o => slink_tx_dat_o,             -- output data
1301
      slink_tx_val_o => slink_tx_val_o,             -- valid output
1302
      slink_tx_rdy_i => slink_tx_rdy_i,             -- ready to send
1303
      -- RX stream interfaces --
1304
      slink_rx_dat_i => slink_rx_dat_i,             -- input data
1305
      slink_rx_val_i => slink_rx_val_i,             -- valid input
1306
      slink_rx_rdy_o => slink_rx_rdy_o              -- ready to receive
1307
    );
1308
    resp_bus(RESP_SLINK).err <= '0'; -- no access error possible
1309
  end generate;
1310
 
1311
  neorv32_slink_inst_false:
1312
  if (io_slink_en_c = false) generate
1313
    resp_bus(RESP_SLINK) <= resp_bus_entry_terminate_c;
1314
    slink_tx_irq   <= '0';
1315
    slink_rx_irq   <= '0';
1316
    slink_tx_dat_o <= (others => (others => '0'));
1317
    slink_tx_val_o <= (others => '0');
1318
    slink_rx_rdy_o <= (others => '0');
1319
  end generate;
1320
 
1321
 
1322
  -- External Interrupt Controller (XIRQ) ---------------------------------------------------
1323
  -- -------------------------------------------------------------------------------------------
1324
  neorv32_xirq_inst_true:
1325
  if (XIRQ_NUM_CH > 0) generate
1326
    neorv32_slink_inst: neorv32_xirq
1327
    generic map (
1328
      XIRQ_NUM_CH           => XIRQ_NUM_CH,          -- number of external IRQ channels (0..32)
1329
      XIRQ_TRIGGER_TYPE     => XIRQ_TRIGGER_TYPE,    -- trigger type: 0=level, 1=edge
1330
      XIRQ_TRIGGER_POLARITY => XIRQ_TRIGGER_POLARITY -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
1331
    )
1332
    port map (
1333
      -- host access --
1334
      clk_i     => clk_i,                     -- global clock line
1335
      addr_i    => p_bus.addr,                -- address
1336
      rden_i    => io_rden,                   -- read enable
1337
      wren_i    => io_wren,                   -- write enable
1338
      data_i    => p_bus.wdata,               -- data in
1339
      data_o    => resp_bus(RESP_XIRQ).rdata, -- data out
1340
      ack_o     => resp_bus(RESP_XIRQ).ack,   -- transfer acknowledge
1341
      -- external interrupt lines --
1342
      xirq_i    => xirq_i,
1343
      -- CPU interrupt --
1344
      cpu_irq_o => xirq_irq
1345
    );
1346
    resp_bus(RESP_XIRQ).err <= '0'; -- no access error possible
1347
  end generate;
1348
 
1349
  neorv32_xirq_inst_false:
1350
  if (XIRQ_NUM_CH = 0) generate
1351
    resp_bus(RESP_XIRQ) <= resp_bus_entry_terminate_c;
1352
    xirq_irq <= '0';
1353
  end generate;
1354
 
1355
 
1356 67 zero_gravi
  -- General Purpose Timer (GPTMR) ----------------------------------------------------------
1357
  -- -------------------------------------------------------------------------------------------
1358
  neorv32_gptmr_inst_true:
1359
  if (IO_GPTMR_EN = true) generate
1360
    neorv32_gptmr_inst: neorv32_gptmr
1361
    port map (
1362
      -- host access --
1363
      clk_i     => clk_i,                      -- global clock line
1364
      addr_i    => p_bus.addr,                 -- address
1365
      rden_i    => io_rden,                    -- read enable
1366
      wren_i    => io_wren,                    -- write enable
1367
      data_i    => p_bus.wdata,                -- data in
1368
      data_o    => resp_bus(RESP_GPTMR).rdata, -- data out
1369
      ack_o     => resp_bus(RESP_GPTMR).ack,   -- transfer acknowledge
1370
      -- clock generator --
1371
      clkgen_en_o => gptmr_cg_en,              -- enable clock generator
1372
      clkgen_i    => clk_gen,
1373
      -- interrupt --
1374
      irq_o       => gptmr_irq                 -- transmission done interrupt
1375
    );
1376
    resp_bus(RESP_GPTMR).err <= '0'; -- no access error possible
1377
  end generate;
1378
 
1379
  neorv32_gptmr_inst_false:
1380
  if (IO_GPTMR_EN = false) generate
1381
    resp_bus(RESP_GPTMR) <= resp_bus_entry_terminate_c;
1382
    gptmr_cg_en          <= '0';
1383
    gptmr_irq            <= '0';
1384
  end generate;
1385
 
1386
 
1387 12 zero_gravi
  -- System Configuration Information Memory (SYSINFO) --------------------------------------
1388
  -- -------------------------------------------------------------------------------------------
1389
  neorv32_sysinfo_inst: neorv32_sysinfo
1390
  generic map (
1391
    -- General --
1392 63 zero_gravi
    CLOCK_FREQUENCY              => CLOCK_FREQUENCY,      -- clock frequency of clk_i in Hz
1393
    INT_BOOTLOADER_EN            => INT_BOOTLOADER_EN,    -- implement processor-internal bootloader?
1394
    -- RISC-V CPU Extensions --
1395
    CPU_EXTENSION_RISCV_Zfinx    => CPU_EXTENSION_RISCV_Zfinx,    -- implement 32-bit floating-point extension (using INT reg!)
1396
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
1397 66 zero_gravi
    CPU_EXTENSION_RISCV_Zicntr   => CPU_EXTENSION_RISCV_Zicntr,   -- implement base counters?
1398
    CPU_EXTENSION_RISCV_Zihpm    => CPU_EXTENSION_RISCV_Zihpm,    -- implement hardware performance monitors?
1399 63 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
1400
    CPU_EXTENSION_RISCV_Zmmul    => CPU_EXTENSION_RISCV_Zmmul,    -- implement multiply-only M sub-extension?
1401
    CPU_EXTENSION_RISCV_DEBUG    => ON_CHIP_DEBUGGER_EN,          -- implement CPU debug mode?
1402
    -- Extension Options --
1403
    FAST_MUL_EN                  => FAST_MUL_EN,          -- use DSPs for M extension's multiplier
1404
    FAST_SHIFT_EN                => FAST_SHIFT_EN,        -- use barrel shifter for shift operations
1405
    CPU_CNT_WIDTH                => CPU_CNT_WIDTH,        -- total width of CPU cycle and instret counters (0..64)
1406
    -- Physical memory protection (PMP) --
1407
    PMP_NUM_REGIONS              => PMP_NUM_REGIONS,      -- number of regions (0..64)
1408 23 zero_gravi
    -- internal Instruction memory --
1409 63 zero_gravi
    MEM_INT_IMEM_EN              => MEM_INT_IMEM_EN,      -- implement processor-internal instruction memory
1410
    MEM_INT_IMEM_SIZE            => MEM_INT_IMEM_SIZE,    -- size of processor-internal instruction memory in bytes
1411 23 zero_gravi
    -- Internal Data memory --
1412 63 zero_gravi
    MEM_INT_DMEM_EN              => MEM_INT_DMEM_EN,      -- implement processor-internal data memory
1413
    MEM_INT_DMEM_SIZE            => MEM_INT_DMEM_SIZE,    -- size of processor-internal data memory in bytes
1414 41 zero_gravi
    -- Internal Cache memory --
1415 63 zero_gravi
    ICACHE_EN                    => ICACHE_EN,            -- implement instruction cache
1416
    ICACHE_NUM_BLOCKS            => ICACHE_NUM_BLOCKS,    -- i-cache: number of blocks (min 2), has to be a power of 2
1417
    ICACHE_BLOCK_SIZE            => ICACHE_BLOCK_SIZE,    -- i-cache: block size in bytes (min 4), has to be a power of 2
1418
    ICACHE_ASSOCIATIVITY         => ICACHE_ASSOCIATIVITY, -- i-cache: associativity (min 1), has to be a power 2
1419 23 zero_gravi
    -- External memory interface --
1420 63 zero_gravi
    MEM_EXT_EN                   => MEM_EXT_EN,           -- implement external memory bus interface?
1421
    MEM_EXT_BIG_ENDIAN           => MEM_EXT_BIG_ENDIAN,   -- byte order: true=big-endian, false=little-endian
1422 59 zero_gravi
    -- On-Chip Debugger --
1423 63 zero_gravi
    ON_CHIP_DEBUGGER_EN          => ON_CHIP_DEBUGGER_EN,  -- implement OCD?
1424 12 zero_gravi
    -- Processor peripherals --
1425 63 zero_gravi
    IO_GPIO_EN                   => IO_GPIO_EN,           -- implement general purpose input/output port unit (GPIO)?
1426
    IO_MTIME_EN                  => IO_MTIME_EN,          -- implement machine system timer (MTIME)?
1427
    IO_UART0_EN                  => IO_UART0_EN,          -- implement primary universal asynchronous receiver/transmitter (UART0)?
1428
    IO_UART1_EN                  => IO_UART1_EN,          -- implement secondary universal asynchronous receiver/transmitter (UART1)?
1429
    IO_SPI_EN                    => IO_SPI_EN,            -- implement serial peripheral interface (SPI)?
1430
    IO_TWI_EN                    => IO_TWI_EN,            -- implement two-wire interface (TWI)?
1431
    IO_PWM_NUM_CH                => IO_PWM_NUM_CH,        -- number of PWM channels to implement
1432
    IO_WDT_EN                    => IO_WDT_EN,            -- implement watch dog timer (WDT)?
1433
    IO_TRNG_EN                   => IO_TRNG_EN,           -- implement true random number generator (TRNG)?
1434
    IO_CFS_EN                    => IO_CFS_EN,            -- implement custom functions subsystem (CFS)?
1435
    IO_SLINK_EN                  => io_slink_en_c,        -- implement stream link interface?
1436
    IO_NEOLED_EN                 => IO_NEOLED_EN,         -- implement NeoPixel-compatible smart LED interface (NEOLED)?
1437 67 zero_gravi
    IO_XIRQ_NUM_CH               => XIRQ_NUM_CH,          -- number of external interrupt (XIRQ) channels to implement
1438
    IO_GPTMR_EN                  => IO_GPTMR_EN           -- implement general purpose timer (GPTMR)?
1439 12 zero_gravi
  )
1440
  port map (
1441
    -- host access --
1442 60 zero_gravi
    clk_i  => clk_i,                        -- global clock line
1443
    addr_i => p_bus.addr,                   -- address
1444
    rden_i => io_rden,                      -- read enable
1445
    data_o => resp_bus(RESP_SYSINFO).rdata, -- data out
1446
    ack_o  => resp_bus(RESP_SYSINFO).ack    -- transfer acknowledge
1447 12 zero_gravi
  );
1448
 
1449 60 zero_gravi
  resp_bus(RESP_SYSINFO).err <= '0'; -- no access error possible
1450 12 zero_gravi
 
1451 60 zero_gravi
 
1452 59 zero_gravi
  -- **************************************************************************************************************************
1453
  -- On-Chip Debugger Complex
1454
  -- **************************************************************************************************************************
1455
 
1456
 
1457
  -- On-Chip Debugger - Debug Module (DM) ---------------------------------------------------
1458
  -- -------------------------------------------------------------------------------------------
1459
  neorv32_neorv32_debug_dm_true:
1460
  if (ON_CHIP_DEBUGGER_EN = true) generate
1461
    neorv32_debug_dm_inst: neorv32_debug_dm
1462
    port map (
1463
      -- global control --
1464 60 zero_gravi
      clk_i            => clk_i,                    -- global clock line
1465
      rstn_i           => ext_rstn,                 -- external reset, low-active
1466 59 zero_gravi
      -- debug module interface (DMI) --
1467
      dmi_rstn_i       => dmi.rstn,
1468
      dmi_req_valid_i  => dmi.req_valid,
1469
      dmi_req_ready_o  => dmi.req_ready,
1470
      dmi_req_addr_i   => dmi.req_addr,
1471
      dmi_req_op_i     => dmi.req_op,
1472
      dmi_req_data_i   => dmi.req_data,
1473 60 zero_gravi
      dmi_resp_valid_o => dmi.resp_valid,           -- response valid when set
1474
      dmi_resp_ready_i => dmi.resp_ready,           -- ready to receive respond
1475 59 zero_gravi
      dmi_resp_data_o  => dmi.resp_data,
1476 60 zero_gravi
      dmi_resp_err_o   => dmi.resp_err,             -- 0=ok, 1=error
1477 59 zero_gravi
      -- CPU bus access --
1478 60 zero_gravi
      cpu_addr_i       => p_bus.addr,               -- address
1479
      cpu_rden_i       => p_bus.re,                 -- read enable
1480
      cpu_wren_i       => p_bus.we,                 -- write enable
1481
      cpu_data_i       => p_bus.wdata,              -- data in
1482
      cpu_data_o       => resp_bus(RESP_OCD).rdata, -- data out
1483
      cpu_ack_o        => resp_bus(RESP_OCD).ack,   -- transfer acknowledge
1484 59 zero_gravi
      -- CPU control --
1485 60 zero_gravi
      cpu_ndmrstn_o    => dci_ndmrstn,              -- soc reset
1486
      cpu_halt_req_o   => dci_halt_req              -- request hart to halt (enter debug mode)
1487 59 zero_gravi
    );
1488 60 zero_gravi
    resp_bus(RESP_OCD).err <= '0'; -- no access error possible
1489 59 zero_gravi
  end generate;
1490
 
1491
  neorv32_debug_dm_false:
1492
  if (ON_CHIP_DEBUGGER_EN = false) generate
1493
    dmi.req_ready  <= '0';
1494
    dmi.resp_valid <= '0';
1495
    dmi.resp_data  <= (others => '0');
1496
    dmi.resp_err   <= '0';
1497
    --
1498 60 zero_gravi
    resp_bus(RESP_OCD) <= resp_bus_entry_terminate_c;
1499
    dci_ndmrstn  <= '1';
1500
    dci_halt_req <= '0';
1501 59 zero_gravi
  end generate;
1502
 
1503
 
1504
  -- On-Chip Debugger - Debug Transport Module (DTM) ----------------------------------------
1505
  -- -------------------------------------------------------------------------------------------
1506
  neorv32_neorv32_debug_dtm_true:
1507
  if (ON_CHIP_DEBUGGER_EN = true) generate
1508
    neorv32_debug_dtm_inst: neorv32_debug_dtm
1509
    generic map (
1510
      IDCODE_VERSION => jtag_tap_idcode_version_c, -- version
1511
      IDCODE_PARTID  => jtag_tap_idcode_partid_c,  -- part number
1512
      IDCODE_MANID   => jtag_tap_idcode_manid_c    -- manufacturer id
1513
    )
1514
    port map (
1515
      -- global control --
1516
      clk_i            => clk_i,          -- global clock line
1517
      rstn_i           => ext_rstn,       -- external reset, low-active
1518
      -- jtag connection --
1519
      jtag_trst_i      => jtag_trst_i,
1520
      jtag_tck_i       => jtag_tck_i,
1521
      jtag_tdi_i       => jtag_tdi_i,
1522
      jtag_tdo_o       => jtag_tdo_o,
1523
      jtag_tms_i       => jtag_tms_i,
1524
      -- debug module interface (DMI) --
1525
      dmi_rstn_o       => dmi.rstn,
1526
      dmi_req_valid_o  => dmi.req_valid,
1527
      dmi_req_ready_i  => dmi.req_ready,  -- DMI is allowed to make new requests when set
1528
      dmi_req_addr_o   => dmi.req_addr,
1529
      dmi_req_op_o     => dmi.req_op,     -- 0=read, 1=write
1530
      dmi_req_data_o   => dmi.req_data,
1531
      dmi_resp_valid_i => dmi.resp_valid, -- response valid when set
1532
      dmi_resp_ready_o => dmi.resp_ready, -- ready to receive respond
1533
      dmi_resp_data_i  => dmi.resp_data,
1534
      dmi_resp_err_i   => dmi.resp_err    -- 0=ok, 1=error
1535
    );
1536
  end generate;
1537
 
1538
  neorv32_debug_dtm_false:
1539
  if (ON_CHIP_DEBUGGER_EN = false) generate
1540
    jtag_tdo_o <= jtag_tdi_i; -- feed-through
1541
    --
1542
    dmi.rstn       <= '0';
1543
    dmi.req_valid  <= '0';
1544
    dmi.req_addr   <= (others => '0');
1545
    dmi.req_op     <= '0';
1546
    dmi.req_data   <= (others => '0');
1547
    dmi.resp_ready <= '0';
1548
  end generate;
1549
 
1550
 
1551 2 zero_gravi
end neorv32_top_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.