OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_top.vhd] - Blame information for rev 8

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Processor Top Entity >>                                                          #
3
-- # ********************************************************************************************* #
4
-- # This is the top entity of the NEORV32 Processor. Instantiate this unit in your own project    #
5
-- # and define all the configuration generics according to your needs. Alternatively, you can use #
6
-- # one of the alternative top entities provided in the "rtl\top_templates" folder.               #
7
-- # Check the processor's documentary for more information: doc\NEORV32.pdf                       #
8
-- # ********************************************************************************************* #
9
-- # BSD 3-Clause License                                                                          #
10
-- #                                                                                               #
11
-- # Copyright (c) 2020, Stephan Nolting. All rights reserved.                                     #
12
-- #                                                                                               #
13
-- # Redistribution and use in source and binary forms, with or without modification, are          #
14
-- # permitted provided that the following conditions are met:                                     #
15
-- #                                                                                               #
16
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
17
-- #    conditions and the following disclaimer.                                                   #
18
-- #                                                                                               #
19
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
20
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
21
-- #    provided with the distribution.                                                            #
22
-- #                                                                                               #
23
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
24
-- #    endorse or promote products derived from this software without specific prior written      #
25
-- #    permission.                                                                                #
26
-- #                                                                                               #
27
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
28
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
29
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
30
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
31
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
32
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
33
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
34
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
35
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
36
-- # ********************************************************************************************* #
37
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
38
-- #################################################################################################
39
 
40
library ieee;
41
use ieee.std_logic_1164.all;
42
use ieee.numeric_std.all;
43
 
44
library neorv32;
45
use neorv32.neorv32_package.all;
46
 
47
entity neorv32_top is
48
  generic (
49
    -- General --
50 8 zero_gravi
    CLOCK_FREQUENCY              : natural := 0; -- clock frequency of clk_i in Hz
51
    HART_ID                      : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom hardware thread ID
52
    BOOTLOADER_USE               : boolean := true;   -- implement processor-internal bootloader?
53
    CSR_COUNTERS_USE             : boolean := true;   -- implement RISC-V perf. counters ([m]instret[h], [m]cycle[h], time[h])?
54 2 zero_gravi
    -- RISC-V CPU Extensions --
55 8 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := true;   -- implement compressed extension?
56
    CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
57
    CPU_EXTENSION_RISCV_M        : boolean := true;   -- implement muld/div extension?
58
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
59
    CPU_EXTENSION_RISCV_Zifencei : boolean := true;   -- implement instruction stream sync.?
60 2 zero_gravi
    -- Memory configuration: Instruction memory --
61 8 zero_gravi
    MEM_ISPACE_BASE              : std_ulogic_vector(31 downto 0) := x"00000000"; -- base address of instruction memory space
62
    MEM_ISPACE_SIZE              : natural := 16*1024; -- total size of instruction memory space in byte
63
    MEM_INT_IMEM_USE             : boolean := true;   -- implement processor-internal instruction memory
64
    MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
65
    MEM_INT_IMEM_ROM             : boolean := false;  -- implement processor-internal instruction memory as ROM
66 2 zero_gravi
    -- Memory configuration: Data memory --
67 8 zero_gravi
    MEM_DSPACE_BASE              : std_ulogic_vector(31 downto 0) := x"80000000"; -- base address of data memory space
68
    MEM_DSPACE_SIZE              : natural := 8*1024; -- total size of data memory space in byte
69
    MEM_INT_DMEM_USE             : boolean := true;   -- implement processor-internal data memory
70
    MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
71 2 zero_gravi
    -- Memory configuration: External memory interface --
72 8 zero_gravi
    MEM_EXT_USE                  : boolean := false;  -- implement external memory bus interface?
73
    MEM_EXT_REG_STAGES           : natural := 2;      -- number of interface register stages (0,1,2)
74
    MEM_EXT_TIMEOUT              : natural := 15;     -- cycles after which a valid bus access will timeout
75 2 zero_gravi
    -- Processor peripherals --
76 8 zero_gravi
    IO_GPIO_USE                  : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
77
    IO_MTIME_USE                 : boolean := true;   -- implement machine system timer (MTIME)?
78
    IO_UART_USE                  : boolean := true;   -- implement universal asynchronous receiver/transmitter (UART)?
79
    IO_SPI_USE                   : boolean := true;   -- implement serial peripheral interface (SPI)?
80
    IO_TWI_USE                   : boolean := true;   -- implement two-wire interface (TWI)?
81
    IO_PWM_USE                   : boolean := true;   -- implement pulse-width modulation unit (PWM)?
82
    IO_WDT_USE                   : boolean := true;   -- implement watch dog timer (WDT)?
83
    IO_CLIC_USE                  : boolean := true;   -- implement core local interrupt controller (CLIC)?
84
    IO_TRNG_USE                  : boolean := false;  -- implement true random number generator (TRNG)?
85
    IO_DEVNULL_USE               : boolean := true    -- implement dummy device (DEVNULL)?
86 2 zero_gravi
  );
87
  port (
88
    -- Global control --
89
    clk_i      : in  std_ulogic := '0'; -- global clock, rising edge
90
    rstn_i     : in  std_ulogic := '0'; -- global reset, low-active, async
91
    -- Wishbone bus interface (available if MEM_EXT_USE = true) --
92
    wb_adr_o   : out std_ulogic_vector(31 downto 0); -- address
93
    wb_dat_i   : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- read data
94
    wb_dat_o   : out std_ulogic_vector(31 downto 0); -- write data
95
    wb_we_o    : out std_ulogic; -- read/write
96
    wb_sel_o   : out std_ulogic_vector(03 downto 0); -- byte enable
97
    wb_stb_o   : out std_ulogic; -- strobe
98
    wb_cyc_o   : out std_ulogic; -- valid cycle
99
    wb_ack_i   : in  std_ulogic := '0'; -- transfer acknowledge
100
    wb_err_i   : in  std_ulogic := '0'; -- transfer error
101
    -- GPIO (available if IO_GPIO_USE = true) --
102
    gpio_o     : out std_ulogic_vector(15 downto 0); -- parallel output
103
    gpio_i     : in  std_ulogic_vector(15 downto 0) := (others => '0'); -- parallel input
104
    -- UART (available if IO_UART_USE = true) --
105
    uart_txd_o : out std_ulogic; -- UART send data
106
    uart_rxd_i : in  std_ulogic := '0'; -- UART receive data
107
    -- SPI (available if IO_SPI_USE = true) --
108 6 zero_gravi
    spi_sck_o  : out std_ulogic; -- SPI serial clock
109
    spi_sdo_o  : out std_ulogic; -- controller data out, peripheral data in
110
    spi_sdi_i  : in  std_ulogic; -- controller data in, peripheral data out
111 2 zero_gravi
    spi_csn_o  : out std_ulogic_vector(07 downto 0); -- SPI CS
112
    -- TWI (available if IO_TWI_USE = true) --
113
    twi_sda_io : inout std_logic := 'H'; -- twi serial data line
114
    twi_scl_io : inout std_logic := 'H'; -- twi serial clock line
115
    -- PWM (available if IO_PWM_USE = true) --
116
    pwm_o      : out std_ulogic_vector(03 downto 0);  -- pwm channels
117
    -- Interrupts (available if IO_CLIC_USE = true) --
118
    ext_irq_i  : in  std_ulogic_vector(01 downto 0) := (others => '0'); -- external interrupt request
119
    ext_ack_o  : out std_ulogic_vector(01 downto 0)  -- external interrupt request acknowledge
120
  );
121
end neorv32_top;
122
 
123
architecture neorv32_top_rtl of neorv32_top is
124
 
125
  -- reset generator --
126
  signal rstn_i_sync0 : std_ulogic;
127
  signal rstn_i_sync1 : std_ulogic;
128
  signal rstn_i_sync2 : std_ulogic;
129
  signal rstn_gen     : std_ulogic_vector(3 downto 0);
130
  signal ext_rstn     : std_ulogic;
131
  signal sys_rstn     : std_ulogic;
132
  signal wdt_rstn     : std_ulogic;
133
 
134
  -- clock generator --
135
  signal clk_div    : std_ulogic_vector(11 downto 0);
136
  signal clk_div_ff : std_ulogic_vector(11 downto 0);
137
  signal clk_gen    : std_ulogic_vector(07 downto 0);
138
  signal wdt_cg_en  : std_ulogic;
139
  signal uart_cg_en : std_ulogic;
140
  signal spi_cg_en  : std_ulogic;
141
  signal twi_cg_en  : std_ulogic;
142
  signal pwm_cg_en  : std_ulogic;
143
 
144
  -- cpu bus --
145
  signal cpu_addr  : std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
146
  signal cpu_rdata : std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
147
  signal cpu_wdata : std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
148
  signal cpu_ben   : std_ulogic_vector(03 downto 0); -- byte enable
149
  signal cpu_we    : std_ulogic; -- write enable
150
  signal cpu_re    : std_ulogic; -- read enable
151
  signal cpu_ack   : std_ulogic; -- bus transfer acknowledge
152
  signal cpu_err   : std_ulogic; -- bus transfer error
153
 
154
  -- io space access --
155
  signal io_acc  : std_ulogic;
156
  signal io_rden : std_ulogic;
157
  signal io_wren : std_ulogic;
158
 
159
  -- read-back busses -
160
  signal imem_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
161
  signal imem_ack       : std_ulogic;
162
  signal dmem_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
163
  signal dmem_ack       : std_ulogic;
164
  signal bootrom_rdata  : std_ulogic_vector(data_width_c-1 downto 0);
165
  signal bootrom_ack    : std_ulogic;
166
  signal wishbone_rdata : std_ulogic_vector(data_width_c-1 downto 0);
167
  signal wishbone_ack   : std_ulogic;
168
  signal wishbone_err   : std_ulogic;
169
  signal gpio_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
170
  signal gpio_ack       : std_ulogic;
171
  signal mtime_rdata    : std_ulogic_vector(data_width_c-1 downto 0);
172
  signal mtime_ack      : std_ulogic;
173
  signal uart_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
174
  signal uart_ack       : std_ulogic;
175
  signal spi_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
176
  signal spi_ack        : std_ulogic;
177
  signal twi_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
178
  signal twi_ack        : std_ulogic;
179
  signal pwm_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
180
  signal pwm_ack        : std_ulogic;
181
  signal wdt_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
182
  signal wdt_ack        : std_ulogic;
183
  signal clic_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
184
  signal clic_ack       : std_ulogic;
185
  signal trng_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
186
  signal trng_ack       : std_ulogic;
187 3 zero_gravi
  signal devnull_rdata  : std_ulogic_vector(data_width_c-1 downto 0);
188
  signal devnull_ack    : std_ulogic;
189 2 zero_gravi
 
190
  -- IRQs --
191
  signal mtime_irq : std_ulogic;
192
  signal clic_irq  : std_ulogic;
193
  signal clic_xirq : std_ulogic_vector(7 downto 0);
194
  signal clic_xack : std_ulogic_vector(7 downto 0);
195
  signal gpio_irq  : std_ulogic;
196
  signal wdt_irq   : std_ulogic;
197
  signal uart_irq  : std_ulogic;
198
  signal spi_irq   : std_ulogic;
199
  signal twi_irq   : std_ulogic;
200
 
201
begin
202
 
203
  -- Sanity Checks --------------------------------------------------------------------------
204
  -- -------------------------------------------------------------------------------------------
205
  sanity_check: process(clk_i)
206
  begin
207
    if rising_edge(clk_i) then
208
      -- internal bootloader memory --
209
      if (BOOTLOADER_USE = true) and (boot_size_c > boot_max_size_c) then
210
        assert false report "NEORV32 CONFIG ERROR! Boot ROM size out of range." severity error;
211
      end if;
212
 
213
      -- memory system - data/instruction fetch --
214
      if (MEM_EXT_USE = false) then
215
        if (MEM_INT_DMEM_USE = false) then
216
          assert false report "NEORV32 CONFIG ERROR! Core cannot fetch data without external memory interface and internal data memory." severity error;
217
        end if;
218
        if (MEM_INT_IMEM_USE = false) and (BOOTLOADER_USE = false) then
219
          assert false report "NEORV32 CONFIG ERROR! Core cannot fetch instructions without external memory interface, internal data memory and bootloader." severity error;
220
        end if;
221
      end if;
222
 
223
      -- memory system - address space --
224
      if (MEM_INT_IMEM_USE = true) and (MEM_INT_IMEM_SIZE > MEM_ISPACE_SIZE) then
225
        assert false report "NEORV32 CONFIG ERROR! Internal instruction memory (IMEM) cannot be greater than total instruction address space." severity error;
226
      end if;
227
      if (MEM_INT_DMEM_USE = true) and (MEM_INT_DMEM_SIZE > MEM_DSPACE_SIZE) then
228
        assert false report "NEORV32 CONFIG ERROR! Internal data memory (DMEM) cannot be greater than total data address space." severity error;
229
      end if;
230
      if (MEM_EXT_TIMEOUT <= 1) then
231
        assert false report "NEORV32 CONFIG ERROR! Invalid bus timeout. Internal components require 1 cycle delay." severity error;
232
      end if;
233
 
234
      -- clock --
235
      if (CLOCK_FREQUENCY = 0) then
236
        assert false report "NEORV32 CONFIG ERROR! Core clock frequency (CLOCK_FREQUENCY) not specified." severity error;
237
      end if;
238
 
239
      -- CSR system not implemented --
240
      if (CPU_EXTENSION_RISCV_Zicsr = false) then
241
        assert false report "NEORV32 CONFIG WARNING! No exception/interrupt/machine status features available when CPU_EXTENSION_RISCV_Zicsr = false." severity warning;
242
      end if;
243
      -- core local interrupt controller --
244
      if (CPU_EXTENSION_RISCV_Zicsr = false) and (IO_CLIC_USE = true) then
245
        assert false report "NEORV32 CONFIG ERROR! Core local interrupt controller (CLIC) cannot be used without >Zicsr< CPU extension." severity error;
246
      end if;
247
 
248
      -- memory layout notifier --
249
      if (MEM_ISPACE_BASE /= x"00000000") then
250
        assert false report "NEORV32 CONFIG WARNING! Non-default base address for instruction address space. Make sure this is sync with the linker script." severity warning;
251
      end if;
252
      if (MEM_DSPACE_BASE /= x"80000000") then
253
        assert false report "NEORV32 CONFIG WARNING! Non-default base address for data address space. Make sure this is sync with the linker script." severity warning;
254
      end if;
255
    end if;
256
  end process sanity_check;
257
 
258
 
259
  -- Reset Generator ------------------------------------------------------------------------
260
  -- -------------------------------------------------------------------------------------------
261
  reset_generator_sync: process(clk_i)
262
  begin
263
    -- make sure the external reset is free of metastability and has a minimal duration of 1 clock cycle
264
    if rising_edge(clk_i) then
265
      rstn_i_sync0 <= rstn_i;
266
      rstn_i_sync1 <= rstn_i_sync0;
267
      rstn_i_sync2 <= rstn_i_sync1;
268
    end if;
269
  end process reset_generator_sync;
270
 
271
  -- keep internal reset active for at least 4 clock cycles
272
  reset_generator: process(rstn_i_sync1, rstn_i_sync2, clk_i)
273
  begin
274
    if ((rstn_i_sync1 or rstn_i_sync2) = '0') then -- signal stable somehow?
275
      rstn_gen <= (others => '0');
276
    elsif rising_edge(clk_i) then
277
      rstn_gen <= rstn_gen(rstn_gen'left-1 downto 0) & '1';
278
    end if;
279
  end process reset_generator;
280
 
281
  ext_rstn <= rstn_gen(rstn_gen'left); -- the beautified external reset signal
282
  sys_rstn <= ext_rstn and wdt_rstn; -- system reset - can also be triggered by watchdog
283
 
284
 
285
  -- Clock Generator ------------------------------------------------------------------------
286
  -- -------------------------------------------------------------------------------------------
287
  clock_generator: process(sys_rstn, clk_i)
288
  begin
289
    if (sys_rstn = '0') then
290
      clk_div    <= (others => '0');
291
      clk_div_ff <= (others => '0');
292
    elsif rising_edge(clk_i) then
293
      -- anybody wanting some fresh clocks? --
294
      if ((wdt_cg_en or uart_cg_en or spi_cg_en or twi_cg_en or pwm_cg_en) = '1') then
295
        clk_div    <= std_ulogic_vector(unsigned(clk_div) + 1);
296
        clk_div_ff <= clk_div;
297
      end if;
298
    end if;
299
  end process clock_generator;
300
 
301
  -- clock enable select: rising edge detectors --
302
  clk_gen(clk_div2_c)    <= clk_div(0)  and (not clk_div_ff(0));  -- CLK/2
303
  clk_gen(clk_div4_c)    <= clk_div(1)  and (not clk_div_ff(1));  -- CLK/4
304
  clk_gen(clk_div8_c)    <= clk_div(2)  and (not clk_div_ff(2));  -- CLK/8
305
  clk_gen(clk_div64_c)   <= clk_div(5)  and (not clk_div_ff(5));  -- CLK/64
306
  clk_gen(clk_div128_c)  <= clk_div(6)  and (not clk_div_ff(6));  -- CLK/128
307
  clk_gen(clk_div1024_c) <= clk_div(9)  and (not clk_div_ff(9));  -- CLK/1024
308
  clk_gen(clk_div2048_c) <= clk_div(10) and (not clk_div_ff(10)); -- CLK/2048
309
  clk_gen(clk_div4096_c) <= clk_div(11) and (not clk_div_ff(11)); -- CLK/4096
310
 
311
 
312
  -- CPU ------------------------------------------------------------------------------------
313
  -- -------------------------------------------------------------------------------------------
314
  neorv32_cpu_inst: neorv32_cpu
315
  generic map (
316
    -- General --
317 8 zero_gravi
    CLOCK_FREQUENCY              => CLOCK_FREQUENCY,   -- clock frequency of clk_i in Hz
318
    HART_ID                      => HART_ID,           -- custom hardware thread ID
319
    BOOTLOADER_USE               => BOOTLOADER_USE,    -- implement processor-internal bootloader?
320
    CSR_COUNTERS_USE             => CSR_COUNTERS_USE,  -- implement RISC-V perf. counters ([m]instret[h], [m]cycle[h], time[h])?
321 2 zero_gravi
    -- RISC-V CPU Extensions --
322 8 zero_gravi
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,        -- implement compressed extension?
323
    CPU_EXTENSION_RISCV_E        => CPU_EXTENSION_RISCV_E,        -- implement embedded RF extension?
324
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,        -- implement muld/div extension?
325
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
326
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
327 2 zero_gravi
    -- Memory configuration: Instruction memory --
328 8 zero_gravi
    MEM_ISPACE_BASE              => MEM_ISPACE_BASE,   -- base address of instruction memory space
329
    MEM_ISPACE_SIZE              => MEM_ISPACE_SIZE,   -- total size of instruction memory space in byte
330
    MEM_INT_IMEM_USE             => MEM_INT_IMEM_USE,  -- implement processor-internal instruction memory
331
    MEM_INT_IMEM_SIZE            => MEM_INT_IMEM_SIZE, -- size of processor-internal instruction memory in bytes
332
    MEM_INT_IMEM_ROM             => MEM_INT_IMEM_ROM,  -- implement processor-internal instruction memory as ROM
333 2 zero_gravi
    -- Memory configuration: Data memory --
334 8 zero_gravi
    MEM_DSPACE_BASE              => MEM_DSPACE_BASE,   -- base address of data memory space
335
    MEM_DSPACE_SIZE              => MEM_DSPACE_SIZE,   -- total size of data memory space in byte
336
    MEM_INT_DMEM_USE             => MEM_INT_DMEM_USE,  -- implement processor-internal data memory
337
    MEM_INT_DMEM_SIZE            => MEM_INT_DMEM_SIZE, -- size of processor-internal data memory in bytes
338 2 zero_gravi
    -- Memory configuration: External memory interface --
339 8 zero_gravi
    MEM_EXT_USE                  => MEM_EXT_USE,       -- implement external memory bus interface?
340
    MEM_EXT_TIMEOUT              => MEM_EXT_TIMEOUT,   -- cycles after which a valid bus access will timeout
341 2 zero_gravi
    -- Processor peripherals --
342 8 zero_gravi
    IO_GPIO_USE                  => IO_GPIO_USE,       -- implement general purpose input/output port unit (GPIO)?
343
    IO_MTIME_USE                 => IO_MTIME_USE,      -- implement machine system timer (MTIME)?
344
    IO_UART_USE                  => IO_UART_USE,       -- implement universal asynchronous receiver/transmitter (UART)?
345
    IO_SPI_USE                   => IO_SPI_USE,        -- implement serial peripheral interface (SPI)?
346
    IO_TWI_USE                   => IO_TWI_USE,        -- implement two-wire interface (TWI)?
347
    IO_PWM_USE                   => IO_PWM_USE,        -- implement pulse-width modulation unit (PWM)?
348
    IO_WDT_USE                   => IO_WDT_USE,        -- implement watch dog timer (WDT)?
349
    IO_CLIC_USE                  => IO_CLIC_USE,       -- implement core local interrupt controller (CLIC)?
350
    IO_TRNG_USE                  => IO_TRNG_USE,       -- implement true random number generator (TRNG)?
351
    IO_DEVNULL_USE               => IO_DEVNULL_USE     -- implement dummy device (DEVNULL)?
352 2 zero_gravi
  )
353
  port map (
354
    -- global control --
355
    clk_i       => clk_i,        -- global clock, rising edge
356
    rstn_i      => sys_rstn,     -- global reset, low-active, async
357
    -- bus interface --
358
    bus_addr_o  => cpu_addr,     -- bus access address
359
    bus_rdata_i => cpu_rdata,    -- bus read data
360
    bus_wdata_o => cpu_wdata,    -- bus write data
361
    bus_ben_o   => cpu_ben,      -- byte enable
362
    bus_we_o    => cpu_we,       -- write enable
363
    bus_re_o    => cpu_re,       -- read enable
364
    bus_ack_i   => cpu_ack,      -- bus transfer acknowledge
365
    bus_err_i   => cpu_err,      -- bus transfer error
366
    -- external interrupts --
367
    clic_irq_i  => clic_irq,     -- CLIC interrupt request
368
    mtime_irq_i => mtime_irq     -- machine timer interrupt
369
  );
370
 
371
  -- CPU data input --
372
  cpu_rdata <= (imem_rdata or dmem_rdata or bootrom_rdata) or wishbone_rdata or (gpio_rdata or mtime_rdata or
373 3 zero_gravi
               uart_rdata or spi_rdata or twi_rdata or pwm_rdata or wdt_rdata or clic_rdata or trng_rdata or devnull_rdata);
374 2 zero_gravi
 
375
  -- CPU ACK input --
376
  cpu_ack <= (imem_ack or dmem_ack or bootrom_ack) or wishbone_ack or (gpio_ack or mtime_ack or
377 3 zero_gravi
              uart_ack or spi_ack or twi_ack or pwm_ack or wdt_ack or clic_ack or trng_ack or devnull_ack);
378 2 zero_gravi
 
379
  -- CPU bus error input --
380
  cpu_err <= wishbone_err;
381
 
382
 
383
  -- Processor-Internal Instruction Memory (IMEM) -------------------------------------------
384
  -- -------------------------------------------------------------------------------------------
385
  neorv32_int_imem_inst_true:
386
  if (MEM_INT_IMEM_USE = true) generate
387
    neorv32_int_imem_inst: neorv32_imem
388
    generic map (
389
      IMEM_BASE      => MEM_ISPACE_BASE,   -- memory base address
390
      IMEM_SIZE      => MEM_INT_IMEM_SIZE, -- processor-internal instruction memory size in bytes
391
      IMEM_AS_ROM    => MEM_INT_IMEM_ROM,  -- implement IMEM as read-only memory?
392
      BOOTLOADER_USE => BOOTLOADER_USE     -- implement and use bootloader?
393
    )
394
    port map (
395
      clk_i  => clk_i,      -- global clock line
396
      rden_i => cpu_re,     -- read enable
397
      wren_i => cpu_we,     -- write enable
398
      ben_i  => cpu_ben,    -- byte write enable
399
      upen_i => '1',        -- update enable
400
      addr_i => cpu_addr,   -- address
401
      data_i => cpu_wdata,  -- data in
402
      data_o => imem_rdata, -- data out
403
      ack_o  => imem_ack    -- transfer acknowledge
404
    );
405
  end generate;
406
 
407
  neorv32_int_imem_inst_false:
408
  if (MEM_INT_IMEM_USE = false) generate
409
    imem_rdata <= (others => '0');
410
    imem_ack   <= '0';
411
  end generate;
412
 
413
 
414
  -- Processor-Internal Data Memory (DMEM) --------------------------------------------------
415
  -- -------------------------------------------------------------------------------------------
416
  neorv32_int_dmem_inst_true:
417
  if (MEM_INT_DMEM_USE = true) generate
418
    neorv32_int_dmem_inst: neorv32_dmem
419
    generic map (
420
      DMEM_BASE => MEM_DSPACE_BASE,  -- memory base address
421
      DMEM_SIZE => MEM_INT_DMEM_SIZE -- processor-internal data memory size in bytes
422
    )
423
    port map (
424
      clk_i  => clk_i,      -- global clock line
425
      rden_i => cpu_re,     -- read enable
426
      wren_i => cpu_we,     -- write enable
427
      ben_i  => cpu_ben,    -- byte write enable
428
      addr_i => cpu_addr,   -- address
429
      data_i => cpu_wdata,  -- data in
430
      data_o => dmem_rdata, -- data out
431
      ack_o  => dmem_ack    -- transfer acknowledge
432
    );
433
  end generate;
434
 
435
  neorv32_int_dmem_inst_false:
436
  if (MEM_INT_DMEM_USE = false) generate
437
    dmem_rdata <= (others => '0');
438
    dmem_ack   <= '0';
439
  end generate;
440
 
441
 
442
  -- Processor-Internal Bootloader ROM (BOOTROM) --------------------------------------------
443
  -- -------------------------------------------------------------------------------------------
444
  neorv32_boot_rom_inst_true:
445
  if (BOOTLOADER_USE = true) generate
446
    neorv32_boot_rom_inst: neorv32_boot_rom
447
    port map (
448
      clk_i  => clk_i,         -- global clock line
449
      rden_i => cpu_re,        -- read enable
450
      addr_i => cpu_addr,      -- address
451
      data_o => bootrom_rdata, -- data out
452
      ack_o  => bootrom_ack    -- transfer acknowledge
453
    );
454
  end generate;
455
 
456
  neorv32_boot_rom_inst_false:
457
  if (BOOTLOADER_USE = false) generate
458
    bootrom_rdata <= (others => '0');
459
    bootrom_ack   <= '0';
460
  end generate;
461
 
462
 
463
  -- External Wishbone Gateway (WISHBONE) ---------------------------------------------------
464
  -- -------------------------------------------------------------------------------------------
465
  neorv32_wishbone_inst_true:
466
  if (MEM_EXT_USE = true) generate
467
    neorv32_wishbone_inst: neorv32_wishbone
468
    generic map (
469
      INTERFACE_REG_STAGES => MEM_EXT_REG_STAGES, -- number of interface register stages (0,1,2)
470
      -- Memory configuration: Instruction memory --
471
      MEM_ISPACE_BASE      => MEM_ISPACE_BASE,   -- base address of instruction memory space
472
      MEM_ISPACE_SIZE      => MEM_ISPACE_SIZE,   -- total size of instruction memory space in byte
473
      MEM_INT_IMEM_USE     => MEM_INT_IMEM_USE,  -- implement processor-internal instruction memory
474
      MEM_INT_IMEM_SIZE    => MEM_INT_IMEM_SIZE, -- size of processor-internal instruction memory in bytes
475
      -- Memory configuration: Data memory --
476
      MEM_DSPACE_BASE      => MEM_DSPACE_BASE,   -- base address of data memory space
477
      MEM_DSPACE_SIZE      => MEM_DSPACE_SIZE,   -- total size of data memory space in byte
478
      MEM_INT_DMEM_USE     => MEM_INT_DMEM_USE,  -- implement processor-internal data memory
479
      MEM_INT_DMEM_SIZE    => MEM_INT_DMEM_SIZE  -- size of processor-internal data memory in bytes
480
    )
481
    port map (
482
      -- global control --
483
      clk_i    => clk_i,          -- global clock line
484
      rstn_i   => sys_rstn,       -- global reset line, low-active
485
      -- host access --
486
      addr_i   => cpu_addr,       -- address
487
      rden_i   => cpu_re,         -- read enable
488
      wren_i   => cpu_we,         -- write enable
489
      ben_i    => cpu_ben,        -- byte write enable
490
      data_i   => cpu_wdata,      -- data in
491
      data_o   => wishbone_rdata, -- data out
492
      ack_o    => wishbone_ack,   -- transfer acknowledge
493
      err_o    => wishbone_err,   -- transfer error
494
      -- wishbone interface --
495
      wb_adr_o => wb_adr_o,       -- address
496
      wb_dat_i => wb_dat_i,       -- read data
497
      wb_dat_o => wb_dat_o,       -- write data
498
      wb_we_o  => wb_we_o,        -- read/write
499
      wb_sel_o => wb_sel_o,       -- byte enable
500
      wb_stb_o => wb_stb_o,       -- strobe
501
      wb_cyc_o => wb_cyc_o,       -- valid cycle
502
      wb_ack_i => wb_ack_i,       -- transfer acknowledge
503
      wb_err_i => wb_err_i        -- transfer error
504
    );
505
  end generate;
506
 
507
  neorv32_wishbone_inst_false:
508
  if (MEM_EXT_USE = false) generate
509
    wishbone_rdata <= (others => '0');
510
    wishbone_ack   <= '0';
511
    wishbone_err   <= '0';
512
    --
513
    wb_adr_o <= (others => '0');
514
    wb_dat_o <= (others => '0');
515
    wb_we_o  <= '0';
516
    wb_sel_o <= (others => '0');
517
    wb_stb_o <= '0';
518
    wb_cyc_o <= '0';
519
  end generate;
520
 
521
 
522
  -- IO Access? -----------------------------------------------------------------------------
523
  -- -------------------------------------------------------------------------------------------
524
  io_acc  <= '1' when (cpu_addr(data_width_c-1 downto index_size_f(io_size_c)) = io_base_c(data_width_c-1 downto index_size_f(io_size_c))) else '0';
525
  io_rden <= io_acc and cpu_re;
526
  io_wren <= io_acc and cpu_we;
527
 
528
 
529
  -- General Purpose Input/Output Port (GPIO) -----------------------------------------------
530
  -- -------------------------------------------------------------------------------------------
531
  neorv32_gpio_inst_true:
532
  if (IO_GPIO_USE = true) generate
533
    neorv32_gpio_inst: neorv32_gpio
534
    port map (
535
      -- host access --
536
      clk_i  => clk_i,      -- global clock line
537
      addr_i => cpu_addr,   -- address
538
      rden_i => io_rden,    -- read enable
539
      wren_i => io_wren,    -- write enable
540
      ben_i  => cpu_ben,    -- byte write enable
541
      data_i => cpu_wdata,  -- data in
542
      data_o => gpio_rdata, -- data out
543
      ack_o  => gpio_ack,   -- transfer acknowledge
544
      -- parallel io --
545
      gpio_o => gpio_o,
546
      gpio_i => gpio_i,
547
      -- interrupt --
548
      irq_o  => gpio_irq    -- pin-change interrupt
549
    );
550
  end generate;
551
 
552
  neorv32_gpio_inst_false:
553
  if (IO_GPIO_USE = false) generate
554
    gpio_rdata <= (others => '0');
555
    gpio_ack   <= '0';
556
    gpio_o     <= (others => '0');
557
    gpio_irq   <= '0';
558
  end generate;
559
 
560
 
561
  -- Core-Local Interrupt Controller (CLIC) -------------------------------------------------
562
  -- -------------------------------------------------------------------------------------------
563
  neorv32_clic_inst_true:
564
  if (IO_CLIC_USE = true) generate
565
    neorv32_clic_inst: neorv32_clic
566
    port map (
567
      -- host access --
568
      clk_i     => clk_i,      -- global clock line
569
      rden_i    => io_rden,    -- read enable
570
      wren_i    => io_wren,    -- write enable
571
      ben_i     => cpu_ben,    -- byte write enable
572
      addr_i    => cpu_addr,   -- address
573
      data_i    => cpu_wdata,  -- data in
574
      data_o    => clic_rdata, -- data out
575
      ack_o     => clic_ack,   -- transfer acknowledge
576
      -- cpu interrupt --
577
      cpu_irq_o => clic_irq,   -- trigger CPU's external IRQ
578
      -- external interrupt lines --
579
      ext_irq_i => clic_xirq,  -- IRQ, triggering on HIGH level
580
      ext_ack_o => clic_xack   -- acknowledge
581
    );
582
  end generate;
583
 
584
  -- CLIC interrupt channels and priority --
585
  clic_xirq(0) <= wdt_irq; -- highest priority
586
  clic_xirq(1) <= '0'; -- reserved
587
  clic_xirq(2) <= gpio_irq;
588
  clic_xirq(3) <= uart_irq;
589
  clic_xirq(4) <= spi_irq;
590
  clic_xirq(5) <= twi_irq;
591
  clic_xirq(6) <= ext_irq_i(0);
592
  clic_xirq(7) <= ext_irq_i(1); -- lowest priority
593
 
594 4 zero_gravi
  -- external interrupt request acknowledge --
595
  ext_ack_o(0) <= clic_xack(6);
596
  ext_ack_o(1) <= clic_xack(7);
597 2 zero_gravi
 
598
  neorv32_clic_inst_false:
599
  if (IO_CLIC_USE = false) generate
600
    clic_rdata <= (others => '0');
601
    clic_ack   <= '0';
602
    clic_irq   <= '0';
603
    clic_xack  <= (others => '0');
604
  end generate;
605
 
606
 
607
  -- Watch Dog Timer (WDT) ------------------------------------------------------------------
608
  -- -------------------------------------------------------------------------------------------
609
  neorv32_wdt_inst_true:
610
  if (IO_WDT_USE = true) generate
611
    neorv32_wdt_inst: neorv32_wdt
612
    port map (
613
      -- host access --
614
      clk_i       => clk_i,      -- global clock line
615
      rstn_i      => ext_rstn,   -- global reset line, low-active
616
      rden_i      => io_rden,    -- read enable
617
      wren_i      => io_wren,    -- write enable
618
      ben_i       => cpu_ben,    -- byte write enable
619
      addr_i      => cpu_addr,   -- address
620
      data_i      => cpu_wdata,  -- data in
621
      data_o      => wdt_rdata,  -- data out
622
      ack_o       => wdt_ack,    -- transfer acknowledge
623
      -- clock generator --
624
      clkgen_en_o => wdt_cg_en,  -- enable clock generator
625
      clkgen_i    => clk_gen,
626
      -- timeout event --
627
      irq_o       => wdt_irq,    -- timeout IRQ
628
      rstn_o      => wdt_rstn    -- timeout reset, low_active, use it as async!
629
    );
630
  end generate;
631
 
632
  neorv32_wdt_inst_false:
633
  if (IO_WDT_USE = false) generate
634
    wdt_rdata <= (others => '0');
635
    wdt_ack   <= '0';
636
    wdt_irq   <= '0';
637
    wdt_rstn  <= '1';
638
    wdt_cg_en <= '0';
639
  end generate;
640
 
641
 
642
  -- Machine System Timer (MTIME) -----------------------------------------------------------
643
  -- -------------------------------------------------------------------------------------------
644
  neorv32_mtime_inst_true:
645
  if (IO_MTIME_USE = true) generate
646
    neorv32_mtime_inst: neorv32_mtime
647
    port map (
648
      -- host access --
649
      clk_i     => clk_i,        -- global clock line
650 4 zero_gravi
      rstn_i    => sys_rstn,     -- global reset, low-active, async
651 2 zero_gravi
      addr_i    => cpu_addr,     -- address
652
      rden_i    => io_rden,      -- read enable
653
      wren_i    => io_wren,      -- write enable
654
      ben_i     => cpu_ben,      -- byte write enable
655
      data_i    => cpu_wdata,    -- data in
656
      data_o    => mtime_rdata,  -- data out
657
      ack_o     => mtime_ack,    -- transfer acknowledge
658
      -- interrupt --
659
      irq_o     => mtime_irq     -- interrupt request
660
    );
661
  end generate;
662
 
663
  neorv32_mtime_inst_false:
664
  if (IO_MTIME_USE = false) generate
665
    mtime_rdata <= (others => '0');
666
    mtime_ack   <= '0';
667
    mtime_irq   <= '0';
668
  end generate;
669
 
670
 
671
  -- Universal Asynchronous Receiver/Transmitter (UART) -------------------------------------
672
  -- -------------------------------------------------------------------------------------------
673
  neorv32_uart_inst_true:
674
  if (IO_UART_USE = true) generate
675
    neorv32_uart_inst: neorv32_uart
676
    port map (
677
      -- host access --
678
      clk_i       => clk_i,      -- global clock line
679
      addr_i      => cpu_addr,   -- address
680
      rden_i      => io_rden,    -- read enable
681
      wren_i      => io_wren,    -- write enable
682
      ben_i       => cpu_ben,    -- byte write enable
683
      data_i      => cpu_wdata,  -- data in
684
      data_o      => uart_rdata, -- data out
685
      ack_o       => uart_ack,   -- transfer acknowledge
686
      -- clock generator --
687
      clkgen_en_o => uart_cg_en, -- enable clock generator
688
      clkgen_i    => clk_gen,
689
      -- com lines --
690
      uart_txd_o  => uart_txd_o,
691
      uart_rxd_i  => uart_rxd_i,
692
      -- interrupts --
693
      uart_irq_o  => uart_irq    -- uart rx/tx interrupt
694
    );
695
  end generate;
696
 
697
  neorv32_uart_inst_false:
698
  if (IO_UART_USE = false) generate
699
    uart_rdata <= (others => '0');
700
    uart_ack   <= '0';
701
    uart_txd_o <= '0';
702
    uart_cg_en <= '0';
703
    uart_irq   <= '0';
704
  end generate;
705
 
706
 
707
  -- Serial Peripheral Interface (SPI) ------------------------------------------------------
708
  -- -------------------------------------------------------------------------------------------
709
  neorv32_spi_inst_true:
710
  if (IO_SPI_USE = true) generate
711
    neorv32_spi_inst: neorv32_spi
712
    port map (
713
      -- host access --
714
      clk_i       => clk_i,      -- global clock line
715
      addr_i      => cpu_addr,   -- address
716
      rden_i      => io_rden,    -- read enable
717
      wren_i      => io_wren,    -- write enable
718
      ben_i       => cpu_ben,    -- byte write enable
719
      data_i      => cpu_wdata,  -- data in
720
      data_o      => spi_rdata,  -- data out
721
      ack_o       => spi_ack,    -- transfer acknowledge
722
      -- clock generator --
723
      clkgen_en_o => spi_cg_en,  -- enable clock generator
724
      clkgen_i    => clk_gen,
725
      -- com lines --
726 6 zero_gravi
      spi_sck_o   => spi_sck_o,  -- SPI serial clock
727
      spi_sdo_o   => spi_sdo_o,  -- controller data out, peripheral data in
728
      spi_sdi_i   => spi_sdi_i,  -- controller data in, peripheral data out
729 2 zero_gravi
      spi_csn_o   => spi_csn_o,  -- SPI CS
730
      -- interrupt --
731
      spi_irq_o   => spi_irq     -- transmission done interrupt
732
    );
733
  end generate;
734
 
735
  neorv32_spi_inst_false:
736
  if (IO_SPI_USE = false) generate
737
    spi_rdata  <= (others => '0');
738
    spi_ack    <= '0';
739 6 zero_gravi
    spi_sck_o  <= '0';
740
    spi_sdo_o  <= '0';
741 2 zero_gravi
    spi_csn_o  <= (others => '1'); -- CSn lines are low-active
742
    spi_cg_en  <= '0';
743
    spi_irq    <= '0';
744
  end generate;
745
 
746
 
747
  -- Two-Wire Interface (TWI) ---------------------------------------------------------------
748
  -- -------------------------------------------------------------------------------------------
749
  neorv32_twi_inst_true:
750
  if (IO_TWI_USE = true) generate
751
    neorv32_twi_inst: neorv32_twi
752
    port map (
753
      -- host access --
754
      clk_i       => clk_i,      -- global clock line
755
      addr_i      => cpu_addr,   -- address
756
      rden_i      => io_rden,    -- read enable
757
      wren_i      => io_wren,    -- write enable
758
      ben_i       => cpu_ben,    -- byte write enable
759
      data_i      => cpu_wdata,  -- data in
760
      data_o      => twi_rdata,  -- data out
761
      ack_o       => twi_ack,    -- transfer acknowledge
762
      -- clock generator --
763
      clkgen_en_o => twi_cg_en,  -- enable clock generator
764
      clkgen_i    => clk_gen,
765
      -- com lines --
766
      twi_sda_io  => twi_sda_io, -- serial data line
767
      twi_scl_io  => twi_scl_io, -- serial clock line
768
      -- interrupt --
769
      twi_irq_o   => twi_irq     -- transfer done IRQ
770
    );
771
  end generate;
772
 
773
  neorv32_twi_inst_false:
774
  if (IO_TWI_USE = false) generate
775
    twi_rdata  <= (others => '0');
776
    twi_ack    <= '0';
777
--  twi_sda_io <= 'H';
778
--  twi_scl_io <= 'H';
779
    twi_cg_en  <= '0';
780
    twi_irq    <= '0';
781
  end generate;
782
 
783
 
784
  -- Pulse-Width Modulation Controller (PWM) ------------------------------------------------
785
  -- -------------------------------------------------------------------------------------------
786
  neorv32_pwm_inst_true:
787
  if (IO_PWM_USE = true) generate
788
    neorv32_pwm_inst: neorv32_pwm
789
    port map (
790
      -- host access --
791
      clk_i       => clk_i,      -- global clock line
792
      addr_i      => cpu_addr,   -- address
793
      rden_i      => io_rden,    -- read enable
794
      wren_i      => io_wren,    -- write enable
795
      ben_i       => cpu_ben,    -- byte write enable
796
      data_i      => cpu_wdata,  -- data in
797
      data_o      => pwm_rdata,  -- data out
798
      ack_o       => pwm_ack,    -- transfer acknowledge
799
      -- clock generator --
800
      clkgen_en_o => pwm_cg_en,  -- enable clock generator
801
      clkgen_i    => clk_gen,
802
      -- pwm output channels --
803
      pwm_o       => pwm_o
804
    );
805
  end generate;
806
 
807
  neorv32_pwm_inst_false:
808
  if (IO_PWM_USE = false) generate
809
    pwm_rdata <= (others => '0');
810
    pwm_ack   <= '0';
811
    pwm_cg_en <= '0';
812
    pwm_o     <= (others => '0');
813
  end generate;
814
 
815
 
816
  -- True Random Number Generator (TRNG) ----------------------------------------------------
817
  -- -------------------------------------------------------------------------------------------
818
  neorv32_trng_inst_true:
819
  if (IO_TRNG_USE = true) generate
820
    neorv32_trng_inst: neorv32_trng
821
    port map (
822
      -- host access --
823
      clk_i  => clk_i,      -- global clock line
824
      addr_i => cpu_addr,   -- address
825
      rden_i => io_rden,    -- read enable
826
      wren_i => io_wren,    -- write enable
827
      ben_i  => cpu_ben,    -- byte write enable
828
      data_i => cpu_wdata,  -- data in
829
      data_o => trng_rdata, -- data out
830
      ack_o  => trng_ack    -- transfer acknowledge
831
    );
832
  end generate;
833
 
834
  neorv32_trng_inst_false:
835
  if (IO_TRNG_USE = false) generate
836
    trng_rdata <= (others => '0');
837
    trng_ack   <= '0';
838
  end generate;
839
 
840
 
841 3 zero_gravi
  -- Dummy Device (DEVNULL) -----------------------------------------------------------------
842
  -- -------------------------------------------------------------------------------------------
843
  neorv32_devnull_inst_true:
844
  if (IO_DEVNULL_USE = true) generate
845
    neorv32_devnull_inst: neorv32_devnull
846
    port map (
847
      -- host access --
848
      clk_i  => clk_i,         -- global clock line
849
      addr_i => cpu_addr,      -- address
850
      rden_i => io_rden,       -- read enable
851
      wren_i => io_wren,       -- write enable
852
      ben_i  => cpu_ben,       -- byte write enable
853
      data_i => cpu_wdata,     -- data in
854
      data_o => devnull_rdata, -- data out
855
      ack_o  => devnull_ack    -- transfer acknowledge
856
    );
857
  end generate;
858 4 zero_gravi
 
859 3 zero_gravi
  neorv32_devnull_inst_false:
860
  if (IO_DEVNULL_USE = false) generate
861
    devnull_rdata <= (others => '0');
862
    devnull_ack   <= '0';
863
  end generate;
864
 
865
 
866 2 zero_gravi
end neorv32_top_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.