OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_twi.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Two-Wire Interface Master (TWI) >>                                               #
3
-- # ********************************************************************************************* #
4
-- # Supports START and STOP conditions, 8 bit data + ACK/NACK transfers and clock stretching.     #
5
-- # Supports ACKs by the master. No multi-master support and no slave mode support yet!           #
6
-- # Interrupt: TWI_transfer_done                                                                  #
7
-- # ********************************************************************************************* #
8
-- # BSD 3-Clause License                                                                          #
9
-- #                                                                                               #
10
-- # Copyright (c) 2020, Stephan Nolting. All rights reserved.                                     #
11
-- #                                                                                               #
12
-- # Redistribution and use in source and binary forms, with or without modification, are          #
13
-- # permitted provided that the following conditions are met:                                     #
14
-- #                                                                                               #
15
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
16
-- #    conditions and the following disclaimer.                                                   #
17
-- #                                                                                               #
18
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
19
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
20
-- #    provided with the distribution.                                                            #
21
-- #                                                                                               #
22
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
23
-- #    endorse or promote products derived from this software without specific prior written      #
24
-- #    permission.                                                                                #
25
-- #                                                                                               #
26
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
27
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
28
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
29
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
30
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
31
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
32
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
33
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
34
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
35
-- # ********************************************************************************************* #
36
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
37
-- #################################################################################################
38
 
39
library ieee;
40
use ieee.std_logic_1164.all;
41
use ieee.numeric_std.all;
42
 
43
library neorv32;
44
use neorv32.neorv32_package.all;
45
 
46
entity neorv32_twi is
47
  port (
48
    -- host access --
49
    clk_i       : in  std_ulogic; -- global clock line
50
    addr_i      : in  std_ulogic_vector(31 downto 0); -- address
51
    rden_i      : in  std_ulogic; -- read enable
52
    wren_i      : in  std_ulogic; -- write enable
53
    ben_i       : in  std_ulogic_vector(03 downto 0); -- byte write enable
54
    data_i      : in  std_ulogic_vector(31 downto 0); -- data in
55
    data_o      : out std_ulogic_vector(31 downto 0); -- data out
56
    ack_o       : out std_ulogic; -- transfer acknowledge
57
    -- clock generator --
58
    clkgen_en_o : out std_ulogic; -- enable clock generator
59
    clkgen_i    : in  std_ulogic_vector(07 downto 0);
60
    -- com lines --
61
    twi_sda_io  : inout std_logic; -- serial data line
62
    twi_scl_io  : inout std_logic; -- serial clock line
63
    -- interrupt --
64
    twi_irq_o   : out std_ulogic -- transfer done IRQ
65
  );
66
end neorv32_twi;
67
 
68
architecture neorv32_twi_rtl of neorv32_twi is
69
 
70
  -- IO space: module base address --
71
  constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit
72
  constant lo_abb_c : natural := index_size_f(twi_size_c); -- low address boundary bit
73
 
74
  -- control reg bits --
75
  constant ctrl_twi_en_c     : natural := 0; -- r/w: TWI enable
76
  constant ctrl_twi_start_c  : natural := 1; -- -/w: Generate START condition
77
  constant ctrl_twi_stop_c   : natural := 2; -- -/w: Generate STOP condition
78
  constant ctrl_twi_irq_en_c : natural := 3; -- r/w: transmission done interrupt
79
  constant ctrl_twi_prsc0_c  : natural := 4; -- r/w: CLK prsc bit 0
80
  constant ctrl_twi_prsc1_c  : natural := 5; -- r/w: CLK prsc bit 1
81
  constant ctrl_twi_prsc2_c  : natural := 6; -- r/w: CLK prsc bit 2
82
  constant ctrl_twi_mack_c   : natural := 7; -- r/w: generate ACK by master for transmission
83
  --
84
  constant ctrl_twi_ack_c    : natural := 30; -- r/-: Set if ACK received
85
  constant ctrl_twi_busy_c   : natural := 31; -- r/-: Set if TWI unit is busy
86
 
87
  -- access control --
88
  signal acc_en : std_ulogic; -- module access enable
89
  signal addr   : std_ulogic_vector(31 downto 0); -- access address
90
  signal wr_en  : std_ulogic; -- word write enable
91
  signal rd_en  : std_ulogic; -- read enable
92
 
93
  -- twi clocking --
94
  signal twi_clk        : std_ulogic;
95
  signal twi_phase_gen  : std_ulogic_vector(3 downto 0);
96
  signal twi_clk_phase  : std_ulogic_vector(3 downto 0);
97
 
98
  -- twi clock stretching --
99
  signal twi_clk_halt : std_ulogic;
100
 
101
  -- twi transceiver core --
102
  signal ctrl         : std_ulogic_vector(7 downto 0); -- unit's control register
103
  signal arbiter      : std_ulogic_vector(2 downto 0);
104
  signal twi_bitcnt   : std_ulogic_vector(3 downto 0);
105
  signal twi_rtx_sreg : std_ulogic_vector(8 downto 0); -- main rx/tx shift reg
106
 
107
  -- tri-state I/O --
108
  signal twi_sda_i_ff0, twi_sda_i_ff1 : std_ulogic; -- sda input sync
109
  signal twi_scl_i_ff0, twi_scl_i_ff1 : std_ulogic; -- sda input sync
110
  signal twi_sda_i,     twi_sda_o     : std_ulogic;
111
  signal twi_scl_i,     twi_scl_o     : std_ulogic;
112
 
113
begin
114
 
115
  -- Access Control -------------------------------------------------------------------------
116
  -- -------------------------------------------------------------------------------------------
117
  acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = twi_base_c(hi_abb_c downto lo_abb_c)) else '0';
118
  addr   <= twi_base_c(31 downto lo_abb_c) & addr_i(lo_abb_c-1 downto 2) & "00"; -- word aligned
119
  wr_en  <= acc_en and wren_i;
120
  rd_en  <= acc_en and rden_i;
121
 
122
 
123
  -- Read/Write Access ----------------------------------------------------------------------
124
  -- -------------------------------------------------------------------------------------------
125
  rw_access: process(clk_i)
126
  begin
127
    if rising_edge(clk_i) then
128
      ack_o <= acc_en and (rden_i or wren_i);
129
      -- write access --
130
      if (wr_en = '1') then
131
        if (addr = twi_ctrl_addr_c) then
132
          if (ben_i(0) = '1') then
133
            ctrl(07 downto 00) <= data_i(07 downto 00);
134
          end if;
135
        end if;
136
      end if;
137
      -- read access --
138
      data_o <= (others => '0');
139
      if (rd_en = '1') then
140
        if (addr = twi_ctrl_addr_c) then
141
          data_o(ctrl_twi_en_c)     <= ctrl(ctrl_twi_en_c);
142
          data_o(ctrl_twi_irq_en_c) <= ctrl(ctrl_twi_irq_en_c);
143
          data_o(ctrl_twi_prsc0_c)  <= ctrl(ctrl_twi_prsc0_c);
144
          data_o(ctrl_twi_prsc1_c)  <= ctrl(ctrl_twi_prsc1_c);
145
          data_o(ctrl_twi_prsc2_c)  <= ctrl(ctrl_twi_prsc2_c);
146
          data_o(ctrl_twi_mack_c)   <= ctrl(ctrl_twi_mack_c);
147
          --
148
          data_o(ctrl_twi_ack_c)    <= not twi_rtx_sreg(0);
149
          data_o(ctrl_twi_busy_c)   <= arbiter(1) or arbiter(0);
150
        else -- twi_rtx_addr_c =>
151
          data_o(7 downto 0)        <= twi_rtx_sreg(8 downto 1);
152
 
153
        end if;
154
      end if;
155
    end if;
156
  end process rw_access;
157
 
158
 
159
  -- Clock Generation -----------------------------------------------------------------------
160
  -- -------------------------------------------------------------------------------------------
161
  -- clock generator enable --
162
  clkgen_en_o <= ctrl(ctrl_twi_en_c);
163
 
164
  -- main twi clock select --
165
  twi_clk <= clkgen_i(to_integer(unsigned(ctrl(ctrl_twi_prsc2_c downto ctrl_twi_prsc0_c))));
166
 
167
  -- generate four non-overlapping clock ticks at twi_clk/4 --
168
  clock_phase_gen: process(clk_i)
169
  begin
170
    if rising_edge(clk_i) then
171
      if (arbiter(2) = '0') or (arbiter = "100") then -- offline or idle
172
        twi_phase_gen <= "0001"; -- make sure to start with a new phase, 0,1,2,3 stepping
173
      elsif (twi_clk = '1') and (twi_clk_halt = '0') then -- enabled and no clock stretching detected
174
        twi_phase_gen <= twi_phase_gen(2 downto 0) & twi_phase_gen(3); -- shift left
175
      end if;
176
    end if;
177
  end process clock_phase_gen;
178
 
179
  twi_clk_phase(0) <= twi_phase_gen(0) and twi_clk; -- first step
180
  twi_clk_phase(1) <= twi_phase_gen(1) and twi_clk;
181
  twi_clk_phase(2) <= twi_phase_gen(2) and twi_clk;
182
  twi_clk_phase(3) <= twi_phase_gen(3) and twi_clk; -- last step
183
 
184
 
185
  -- TWI Transceiver ------------------------------------------------------------------------
186
  -- -------------------------------------------------------------------------------------------
187
  twi_rtx_unit: process(clk_i)
188
  begin
189
    if rising_edge(clk_i) then
190
      -- input synchronizer & sampler --
191
      twi_sda_i_ff0 <= twi_sda_i;
192
      twi_sda_i_ff1 <= twi_sda_i_ff0;
193
      twi_scl_i_ff0 <= twi_scl_i;
194
      twi_scl_i_ff1 <= twi_scl_i_ff0;
195
 
196
      -- defaults --
197
      twi_irq_o  <= '0';
198
      arbiter(2) <= ctrl(ctrl_twi_en_c); -- still activated?
199
 
200
      -- serial engine --
201
      -- TWI bus signals are set/sampled using 4 clock phases
202
      case arbiter is
203
 
204
        when "100" => -- IDLE: waiting for requests, bus might be still claimed by this master if no STOP condition was generated
205
          twi_bitcnt <= (others => '0');
206
          if (wr_en = '1') then
207
            if (addr = twi_ctrl_addr_c) then
208
              if (data_i(ctrl_twi_start_c) = '1') then -- issue START condition
209
                arbiter(1 downto 0) <= "01";
210
              elsif (data_i(ctrl_twi_stop_c) = '1') then  -- issue STOP condition
211
                arbiter(1 downto 0) <= "10";
212
              end if;
213
            elsif (addr = twi_rtx_addr_c) then -- start a data transmission
214
              -- one bit extra for ack, issued by master if ctrl_twi_mack_c is set,
215
              -- sampled from slave if ctrl_twi_mack_c is cleared
216
              if (ben_i(0) = '1') then
217
                twi_rtx_sreg <= data_i(7 downto 0) & (not ctrl(ctrl_twi_mack_c));
218
                arbiter(1 downto 0) <= "11";
219
              end if;
220
            end if;
221
          end if;
222
 
223
        when "101" => -- START: generate START condition
224
          if (twi_clk_phase(0) = '1') then
225
            twi_sda_o <= '1';
226
          elsif (twi_clk_phase(1) = '1') then
227
            twi_sda_o <= '0';
228
          end if;
229
 
230
          if (twi_clk_phase(0) = '1') then
231
            twi_scl_o <= '1';
232
          elsif (twi_clk_phase(3) = '1') then
233
            twi_scl_o <= '0';
234
            arbiter(1 downto 0) <= "00"; -- go back to IDLE
235
          end if;
236
 
237
        when "110" => -- STOP: generate STOP condition
238
          if (twi_clk_phase(0) = '1') then
239
            twi_sda_o <= '0';
240
          elsif (twi_clk_phase(3) = '1') then
241
            twi_sda_o <= '1';
242
            arbiter(1 downto 0) <= "00"; -- go back to IDLE
243
          end if;
244
 
245
          if (twi_clk_phase(0) = '1') then
246
            twi_scl_o <= '0';
247
          elsif (twi_clk_phase(1) = '1') then
248
            twi_scl_o <= '1';
249
          end if;
250
 
251
        when "111" => -- TRANSMISSION: transmission in progress
252
          if (twi_clk_phase(0) = '1') then
253
            twi_bitcnt   <= std_ulogic_vector(unsigned(twi_bitcnt) + 1);
254
            twi_scl_o    <= '0';
255
            twi_sda_o    <= twi_rtx_sreg(8); -- MSB first
256
          elsif (twi_clk_phase(1) = '1') then -- first half + second half of valid data strobe
257
            twi_scl_o    <= '1';
258
          elsif (twi_clk_phase(3) = '1') then
259
            twi_rtx_sreg <= twi_rtx_sreg(7 downto 0) & twi_sda_i_ff1; -- sample and shift left
260
            twi_scl_o    <= '0';
261
          end if;
262
 
263
          if (twi_bitcnt = "1010") then -- 8 data bits + 1 bit for ACK + 1 tick delay
264
            arbiter(1 downto 0) <= "00"; -- go back to IDLE
265
            twi_irq_o <= ctrl(ctrl_twi_irq_en_c); -- fire IRQ if enabled
266
          end if;
267
 
268
        when others => -- "0--" OFFLINE: TWI deactivated
269
          twi_sda_o <= '1';
270
          twi_scl_o <= '1';
271
          arbiter   <= ctrl(ctrl_twi_en_c) & "00"; -- stay here, go to idle when activated
272
 
273
      end case;
274
    end if;
275
  end process twi_rtx_unit;
276
 
277
 
278
  -- Clock Stretching Detector --------------------------------------------------------------
279
  -- -------------------------------------------------------------------------------------------
280
  clock_stretching: process(arbiter, twi_scl_o, twi_scl_i_ff1)
281
  begin
282
    -- clock stretching by the slave can happen at "any time"
283
    if (arbiter(2) = '1') and     -- module enabled
284
       (twi_scl_o = '1') and      -- master wants to pull scl high
285
       (twi_scl_i_ff1 = '0') then -- but scl is pulled low by slave
286
      twi_clk_halt <= '1';
287
    else
288
      twi_clk_halt <= '0';
289
    end if;
290
  end process clock_stretching;
291
 
292
 
293
  -- Tri-State Driver -----------------------------------------------------------------------
294
  -- -------------------------------------------------------------------------------------------
295
  -- SDA and SCL need to be of type std_logic to be correctly resolved in simulation
296
  twi_sda_io <= '0' when (twi_sda_o = '0') else 'Z';
297
  twi_scl_io <= '0' when (twi_scl_o = '0') else 'Z';
298
 
299
  -- read-back --
300
  twi_sda_i <= std_ulogic(twi_sda_io);
301
  twi_scl_i <= std_ulogic(twi_scl_io);
302
 
303
 
304
end neorv32_twi_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.