OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_wishbone.vhd] - Blame information for rev 68

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - External Bus Interface (WISHBONE) >>                                             #
3
-- # ********************************************************************************************* #
4 61 zero_gravi
-- # All bus accesses from the CPU, which do not target the internal IO region / the internal      #
5
-- # bootloader / the internal instruction or data memories (if implemented), are delegated via    #
6
-- # this Wishbone gateway to the external bus interface. Accessed peripherals can have a response #
7
-- # latency of up to BUS_TIMEOUT - 1 cycles.                                                      #
8 41 zero_gravi
-- #                                                                                               #
9 39 zero_gravi
-- # Even when all processor-internal memories and IO devices are disabled, the EXTERNAL address   #
10
-- # space ENDS at address 0xffff0000 (begin of internal BOOTROM address space).                   #
11 2 zero_gravi
-- # ********************************************************************************************* #
12
-- # BSD 3-Clause License                                                                          #
13
-- #                                                                                               #
14 44 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
15 2 zero_gravi
-- #                                                                                               #
16
-- # Redistribution and use in source and binary forms, with or without modification, are          #
17
-- # permitted provided that the following conditions are met:                                     #
18
-- #                                                                                               #
19
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
20
-- #    conditions and the following disclaimer.                                                   #
21
-- #                                                                                               #
22
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
23
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
24
-- #    provided with the distribution.                                                            #
25
-- #                                                                                               #
26
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
27
-- #    endorse or promote products derived from this software without specific prior written      #
28
-- #    permission.                                                                                #
29
-- #                                                                                               #
30
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
31
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
32
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
33
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
34
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
35
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
36
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
37
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
38
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
39
-- # ********************************************************************************************* #
40
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
41
-- #################################################################################################
42
 
43
library ieee;
44
use ieee.std_logic_1164.all;
45
use ieee.numeric_std.all;
46
 
47
library neorv32;
48
use neorv32.neorv32_package.all;
49
 
50
entity neorv32_wishbone is
51
  generic (
52 23 zero_gravi
    -- Internal instruction memory --
53 62 zero_gravi
    MEM_INT_IMEM_EN   : boolean; -- implement processor-internal instruction memory
54
    MEM_INT_IMEM_SIZE : natural; -- size of processor-internal instruction memory in bytes
55 23 zero_gravi
    -- Internal data memory --
56 62 zero_gravi
    MEM_INT_DMEM_EN   : boolean; -- implement processor-internal data memory
57
    MEM_INT_DMEM_SIZE : natural; -- size of processor-internal data memory in bytes
58
    -- Interface Configuration --
59
    BUS_TIMEOUT       : natural; -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
60
    PIPE_MODE         : boolean; -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
61
    BIG_ENDIAN        : boolean; -- byte order: true=big-endian, false=little-endian
62
    ASYNC_RX          : boolean  -- use register buffer for RX data when false
63 2 zero_gravi
  );
64
  port (
65
    -- global control --
66 57 zero_gravi
    clk_i     : in  std_ulogic; -- global clock line
67
    rstn_i    : in  std_ulogic; -- global reset line, low-active
68 2 zero_gravi
    -- host access --
69 57 zero_gravi
    src_i     : in  std_ulogic; -- access type (0: data, 1:instruction)
70
    addr_i    : in  std_ulogic_vector(31 downto 0); -- address
71
    rden_i    : in  std_ulogic; -- read enable
72
    wren_i    : in  std_ulogic; -- write enable
73
    ben_i     : in  std_ulogic_vector(03 downto 0); -- byte write enable
74
    data_i    : in  std_ulogic_vector(31 downto 0); -- data in
75
    data_o    : out std_ulogic_vector(31 downto 0); -- data out
76
    lock_i    : in  std_ulogic; -- exclusive access request
77
    ack_o     : out std_ulogic; -- transfer acknowledge
78
    err_o     : out std_ulogic; -- transfer error
79 68 zero_gravi
    tmo_o     : out std_ulogic; -- transfer timeout
80 57 zero_gravi
    priv_i    : in  std_ulogic_vector(01 downto 0); -- current CPU privilege level
81 68 zero_gravi
    ext_o     : out std_ulogic; -- active external access
82 2 zero_gravi
    -- wishbone interface --
83 57 zero_gravi
    wb_tag_o  : out std_ulogic_vector(02 downto 0); -- request tag
84
    wb_adr_o  : out std_ulogic_vector(31 downto 0); -- address
85
    wb_dat_i  : in  std_ulogic_vector(31 downto 0); -- read data
86
    wb_dat_o  : out std_ulogic_vector(31 downto 0); -- write data
87
    wb_we_o   : out std_ulogic; -- read/write
88
    wb_sel_o  : out std_ulogic_vector(03 downto 0); -- byte enable
89
    wb_stb_o  : out std_ulogic; -- strobe
90
    wb_cyc_o  : out std_ulogic; -- valid cycle
91
    wb_lock_o : out std_ulogic; -- exclusive access request
92
    wb_ack_i  : in  std_ulogic; -- transfer acknowledge
93
    wb_err_i  : in  std_ulogic  -- transfer error
94 2 zero_gravi
  );
95
end neorv32_wishbone;
96
 
97
architecture neorv32_wishbone_rtl of neorv32_wishbone is
98
 
99 57 zero_gravi
  -- timeout enable --
100
  constant timeout_en_c : boolean := boolean(BUS_TIMEOUT /= 0); -- timeout enabled if BUS_TIMEOUT > 0
101 35 zero_gravi
 
102 2 zero_gravi
  -- access control --
103 39 zero_gravi
  signal int_imem_acc : std_ulogic;
104
  signal int_dmem_acc : std_ulogic;
105
  signal int_boot_acc : std_ulogic;
106
  signal xbus_access  : std_ulogic;
107 2 zero_gravi
 
108 35 zero_gravi
  -- bus arbiter
109 61 zero_gravi
  type ctrl_state_t is (IDLE, BUSY);
110 35 zero_gravi
  type ctrl_t is record
111 68 zero_gravi
    state    : ctrl_state_t;
112
    state_ff : ctrl_state_t;
113
    we       : std_ulogic;
114
    adr      : std_ulogic_vector(31 downto 0);
115
    wdat     : std_ulogic_vector(31 downto 0);
116
    rdat     : std_ulogic_vector(31 downto 0);
117
    sel      : std_ulogic_vector(03 downto 0);
118
    ack      : std_ulogic;
119
    err      : std_ulogic;
120
    tmo      : std_ulogic;
121
    timeout  : std_ulogic_vector(index_size_f(BUS_TIMEOUT)-1 downto 0);
122
    src      : std_ulogic;
123
    lock     : std_ulogic;
124
    priv     : std_ulogic_vector(01 downto 0);
125 35 zero_gravi
  end record;
126 36 zero_gravi
  signal ctrl    : ctrl_t;
127
  signal stb_int : std_ulogic;
128
  signal cyc_int : std_ulogic;
129 61 zero_gravi
  signal rdata   : std_ulogic_vector(31 downto 0);
130 2 zero_gravi
 
131 61 zero_gravi
  -- async RX mode --
132
  signal ack_gated   : std_ulogic;
133
  signal rdata_gated : std_ulogic_vector(31 downto 0);
134
 
135 2 zero_gravi
begin
136
 
137 35 zero_gravi
  -- Sanity Checks --------------------------------------------------------------------------
138 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
139 61 zero_gravi
  -- protocol --
140 62 zero_gravi
  assert not (PIPE_MODE = false) report "NEORV32 PROCESSOR CONFIG NOTE: External Bus Interface - Implementing STANDARD Wishbone protocol." severity note;
141
  assert not (PIPE_MODE = true) report "NEORV32 PROCESSOR CONFIG NOTE: External Bus Interface - Implementing PIEPLINED Wishbone protocol." severity note;
142 61 zero_gravi
 
143 57 zero_gravi
  -- bus timeout --
144 61 zero_gravi
  assert not (BUS_TIMEOUT /= 0) report "NEORV32 PROCESSOR CONFIG NOTE: External Bus Interface - Implementing auto-timeout (" & integer'image(BUS_TIMEOUT) & " cycles)." severity note;
145
  assert not (BUS_TIMEOUT  = 0) report "NEORV32 PROCESSOR CONFIG NOTE: External Bus Interface - Implementing no auto-timeout (can cause permanent CPU stall!)." severity note;
146 59 zero_gravi
 
147 40 zero_gravi
  -- endianness --
148 62 zero_gravi
  assert not (BIG_ENDIAN = false) report "NEORV32 PROCESSOR CONFIG NOTE: External Bus Interface - Implementing LITTLE-endian byte order." severity note;
149
  assert not (BIG_ENDIAN = true)  report "NEORV32 PROCESSOR CONFIG NOTE: External Bus Interface - Implementing BIG-endian byte." severity note;
150 2 zero_gravi
 
151 62 zero_gravi
  -- async RX --
152
  assert not (ASYNC_RX = false) report "NEORV32 PROCESSOR CONFIG NOTE: External Bus Interface - Implementing registered RX path." severity note;
153
  assert not (ASYNC_RX = true)  report "NEORV32 PROCESSOR CONFIG NOTE: External Bus Interface - Implementing ASYNC RX path." severity note;
154 2 zero_gravi
 
155 61 zero_gravi
 
156 2 zero_gravi
  -- Access Control -------------------------------------------------------------------------
157
  -- -------------------------------------------------------------------------------------------
158 39 zero_gravi
  -- access to processor-internal IMEM or DMEM? --
159 44 zero_gravi
  int_imem_acc <= '1' when (addr_i(31 downto index_size_f(MEM_INT_IMEM_SIZE)) = imem_base_c(31 downto index_size_f(MEM_INT_IMEM_SIZE))) and (MEM_INT_IMEM_EN = true) else '0';
160
  int_dmem_acc <= '1' when (addr_i(31 downto index_size_f(MEM_INT_DMEM_SIZE)) = dmem_base_c(31 downto index_size_f(MEM_INT_DMEM_SIZE))) and (MEM_INT_DMEM_EN = true) else '0';
161 39 zero_gravi
  -- access to processor-internal BOOTROM or IO devices? --
162
  int_boot_acc <= '1' when (addr_i(31 downto 16) = boot_rom_base_c(31 downto 16)) else '0'; -- hacky!
163 2 zero_gravi
  -- actual external bus access? --
164 39 zero_gravi
  xbus_access <= (not int_imem_acc) and (not int_dmem_acc) and (not int_boot_acc);
165 2 zero_gravi
 
166 61 zero_gravi
 
167 2 zero_gravi
  -- Bus Arbiter -----------------------------------------------------------------------------
168
  -- -------------------------------------------------------------------------------------------
169
  bus_arbiter: process(rstn_i, clk_i)
170
  begin
171
    if (rstn_i = '0') then
172 68 zero_gravi
      ctrl.state    <= IDLE;
173
      ctrl.state_ff <= IDLE;
174
      ctrl.we       <= def_rst_val_c;
175
      ctrl.adr      <= (others => def_rst_val_c);
176
      ctrl.wdat     <= (others => def_rst_val_c);
177
      ctrl.rdat     <= (others => def_rst_val_c);
178
      ctrl.sel      <= (others => def_rst_val_c);
179
      ctrl.timeout  <= (others => def_rst_val_c);
180
      ctrl.ack      <= def_rst_val_c;
181
      ctrl.err      <= def_rst_val_c;
182
      ctrl.tmo      <= def_rst_val_c;
183
      ctrl.src      <= def_rst_val_c;
184
      ctrl.lock     <= def_rst_val_c;
185
      ctrl.priv     <= (others => def_rst_val_c);
186 2 zero_gravi
    elsif rising_edge(clk_i) then
187 35 zero_gravi
      -- defaults --
188 68 zero_gravi
      ctrl.state_ff <= ctrl.state;
189
      ctrl.rdat     <= (others => '0'); -- required for internal output gating
190
      ctrl.ack      <= '0';
191
      ctrl.err      <= '0';
192
      ctrl.tmo      <= '0';
193
      ctrl.timeout  <= std_ulogic_vector(to_unsigned(BUS_TIMEOUT, index_size_f(BUS_TIMEOUT)));
194 2 zero_gravi
 
195 35 zero_gravi
      -- state machine --
196
      case ctrl.state is
197 2 zero_gravi
 
198 35 zero_gravi
        when IDLE => -- waiting for host request
199
        -- ------------------------------------------------------------
200
          -- buffer all outgoing signals --
201 61 zero_gravi
          ctrl.we  <= wren_i;
202 56 zero_gravi
          ctrl.adr <= addr_i;
203 62 zero_gravi
          if (BIG_ENDIAN = true) then -- big-endian
204 60 zero_gravi
            ctrl.wdat <= bswap32_f(data_i);
205
            ctrl.sel  <= bit_rev_f(ben_i);
206
          else -- little-endian
207 40 zero_gravi
            ctrl.wdat <= data_i;
208
            ctrl.sel  <= ben_i;
209
          end if;
210 36 zero_gravi
          ctrl.src  <= src_i;
211 57 zero_gravi
          ctrl.lock <= lock_i;
212 36 zero_gravi
          ctrl.priv <= priv_i;
213 39 zero_gravi
          -- valid new or buffered read/write request --
214 61 zero_gravi
          if ((xbus_access and (wren_i or rden_i)) = '1') then
215 35 zero_gravi
            ctrl.state <= BUSY;
216
          end if;
217 2 zero_gravi
 
218 35 zero_gravi
        when BUSY => -- transfer in progress
219
        -- ------------------------------------------------------------
220 57 zero_gravi
          ctrl.rdat <= wb_dat_i;
221 68 zero_gravi
          if (wb_err_i = '1') then -- abnormal bus termination
222 35 zero_gravi
            ctrl.err   <= '1';
223 57 zero_gravi
            ctrl.state <= IDLE;
224 68 zero_gravi
          elsif (timeout_en_c = true) and (or_reduce_f(ctrl.timeout) = '0') then -- enabled timeout
225
            ctrl.tmo   <= '1';
226
            ctrl.state <= IDLE;
227 35 zero_gravi
          elsif (wb_ack_i = '1') then -- normal bus termination
228
            ctrl.ack   <= '1';
229
            ctrl.state <= IDLE;
230
          end if;
231 57 zero_gravi
          -- timeout counter --
232
          if (timeout_en_c = true) then
233
            ctrl.timeout <= std_ulogic_vector(unsigned(ctrl.timeout) - 1); -- timeout counter
234 38 zero_gravi
          end if;
235
 
236 35 zero_gravi
        when others => -- undefined
237
        -- ------------------------------------------------------------
238
          ctrl.state <= IDLE;
239 2 zero_gravi
 
240 35 zero_gravi
      end case;
241
    end if;
242
  end process bus_arbiter;
243 23 zero_gravi
 
244 35 zero_gravi
  -- host access --
245 61 zero_gravi
  ack_gated   <= wb_ack_i when (ctrl.state = BUSY) else '0'; -- CPU ack gate for "async" RX
246
  rdata_gated <= wb_dat_i when (ctrl.state = BUSY) else (others => '0'); -- CPU read data gate for "async" RX
247 62 zero_gravi
  rdata       <= ctrl.rdat when (ASYNC_RX = false) else rdata_gated;
248 61 zero_gravi
 
249 68 zero_gravi
  ext_o  <= '1' when (ctrl.state = BUSY) else '0'; -- active external access
250
 
251 62 zero_gravi
  data_o <= rdata when (BIG_ENDIAN = false) else bswap32_f(rdata); -- endianness conversion
252
  ack_o  <= ctrl.ack when (ASYNC_RX = false) else ack_gated;
253 39 zero_gravi
  err_o  <= ctrl.err;
254 68 zero_gravi
  tmo_o  <= ctrl.tmo;
255 2 zero_gravi
 
256 35 zero_gravi
  -- wishbone interface --
257 66 zero_gravi
  wb_tag_o(0) <= '0' when (ctrl.priv = priv_mode_u_c) else '1'; -- unprivileged access when in user mode
258 39 zero_gravi
  wb_tag_o(1) <= '0'; -- 0 = secure, 1 = non-secure
259
  wb_tag_o(2) <= ctrl.src; -- 0 = data access, 1 = instruction access
260 36 zero_gravi
 
261 57 zero_gravi
  wb_lock_o <= ctrl.lock; -- 1 = exclusive access request
262
 
263 61 zero_gravi
  wb_adr_o <= ctrl.adr;
264
  wb_dat_o <= ctrl.wdat;
265
  wb_we_o  <= ctrl.we;
266
  wb_sel_o <= ctrl.sel;
267 62 zero_gravi
  wb_stb_o <= stb_int when (PIPE_MODE = true) else cyc_int;
268 61 zero_gravi
  wb_cyc_o <= cyc_int;
269 2 zero_gravi
 
270 68 zero_gravi
  stb_int <= '1' when (ctrl.state = BUSY) and (ctrl.state_ff /= BUSY) else '0';
271 61 zero_gravi
  cyc_int <= '1' when (ctrl.state = BUSY) else '0';
272 2 zero_gravi
 
273 35 zero_gravi
 
274 2 zero_gravi
end neorv32_wishbone_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.