OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [system_integration/] [neorv32_ProcessorTop_stdlogic.vhd] - Blame information for rev 67

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 63 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Processor Top Entity with Resolved Port Signals (std_logic/std_logic_vector) >>  #
3
-- # ********************************************************************************************* #
4
-- # BSD 3-Clause License                                                                          #
5
-- #                                                                                               #
6
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
7
-- #                                                                                               #
8
-- # Redistribution and use in source and binary forms, with or without modification, are          #
9
-- # permitted provided that the following conditions are met:                                     #
10
-- #                                                                                               #
11
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
12
-- #    conditions and the following disclaimer.                                                   #
13
-- #                                                                                               #
14
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
15
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
16
-- #    provided with the distribution.                                                            #
17
-- #                                                                                               #
18
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
19
-- #    endorse or promote products derived from this software without specific prior written      #
20
-- #    permission.                                                                                #
21
-- #                                                                                               #
22
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
23
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
24
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
25
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
26
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
27
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
28
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
29
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
30
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
31
-- # ********************************************************************************************* #
32
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
33
-- #################################################################################################
34
 
35
library ieee;
36
use ieee.std_logic_1164.all;
37
use ieee.numeric_std.all;
38
 
39
library neorv32;
40
use neorv32.neorv32_package.all;
41
 
42
entity neorv32_ProcessorTop_stdlogic is
43
  generic (
44
    -- General --
45
    CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
46
    INT_BOOTLOADER_EN            : boolean := true;   -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
47
    HW_THREAD_ID                 : natural := 0;      -- hardware thread id (32-bit)
48
    -- On-Chip Debugger (OCD) --
49
    ON_CHIP_DEBUGGER_EN          : boolean := false;  -- implement on-chip debugger
50
    -- RISC-V CPU Extensions --
51
    CPU_EXTENSION_RISCV_A        : boolean := false;  -- implement atomic extension?
52 66 zero_gravi
    CPU_EXTENSION_RISCV_B        : boolean := false;  -- implement bit-manipulation extension?
53 63 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
54
    CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
55
    CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement muld/div extension?
56
    CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
57
    CPU_EXTENSION_RISCV_Zfinx    : boolean := false;  -- implement 32-bit floating-point extension (using INT reg!)
58
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
59 66 zero_gravi
    CPU_EXTENSION_RISCV_Zicntr   : boolean := true;   -- implement base counters?
60
    CPU_EXTENSION_RISCV_Zihpm    : boolean := false;  -- implement hardware performance monitors?
61 63 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei : boolean := false;  -- implement instruction stream sync.?
62
    -- Extension Options --
63
    FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
64
    FAST_SHIFT_EN                : boolean := false;  -- use barrel shifter for shift operations
65
    CPU_CNT_WIDTH                : natural := 64;     -- total width of CPU cycle and instret counters (0..64)
66
    -- Physical Memory Protection (PMP) --
67
    PMP_NUM_REGIONS              : natural := 0;      -- number of regions (0..64)
68
    PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
69
    -- Hardware Performance Monitors (HPM) --
70
    HPM_NUM_CNTS                 : natural := 0;      -- number of implemented HPM counters (0..29)
71
    HPM_CNT_WIDTH                : natural := 40;     -- total size of HPM counters (0..64)
72
    -- Internal Instruction memory --
73
    MEM_INT_IMEM_EN              : boolean := true;   -- implement processor-internal instruction memory
74
    MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
75
    -- Internal Data memory --
76
    MEM_INT_DMEM_EN              : boolean := true;   -- implement processor-internal data memory
77
    MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
78
    -- Internal Cache memory --
79
    ICACHE_EN                    : boolean := false;  -- implement instruction cache
80
    ICACHE_NUM_BLOCKS            : natural := 4;      -- i-cache: number of blocks (min 1), has to be a power of 2
81
    ICACHE_BLOCK_SIZE            : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
82
    ICACHE_ASSOCIATIVITY         : natural := 1;      -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
83
    -- External memory interface --
84
    MEM_EXT_EN                   : boolean := false;  -- implement external memory bus interface?
85
    MEM_EXT_TIMEOUT              : natural := 255;    -- cycles after a pending bus access auto-terminates (0 = disabled)
86
    MEM_EXT_PIPE_MODE            : boolean := false;  -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
87
    MEM_EXT_BIG_ENDIAN           : boolean := false;  -- byte order: true=big-endian, false=little-endian
88
    MEM_EXT_ASYNC_RX             : boolean := false;  -- use register buffer for RX data when false
89
    -- Stream link interface --
90
    SLINK_NUM_TX                 : natural := 0;      -- number of TX links (0..8)
91
    SLINK_NUM_RX                 : natural := 0;      -- number of TX links (0..8)
92
    SLINK_TX_FIFO                : natural := 1;      -- TX fifo depth, has to be a power of two
93
    SLINK_RX_FIFO                : natural := 1;      -- RX fifo depth, has to be a power of two
94
    -- External Interrupts Controller (XIRQ) --
95
    XIRQ_NUM_CH                  : natural := 0;      -- number of external IRQ channels (0..32)
96
    XIRQ_TRIGGER_TYPE            : std_logic_vector(31 downto 0) := (others => '1'); -- trigger type: 0=level, 1=edge
97
    XIRQ_TRIGGER_POLARITY        : std_logic_vector(31 downto 0) := (others => '1'); -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
98
    -- Processor peripherals --
99
    IO_GPIO_EN                   : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
100
    IO_MTIME_EN                  : boolean := true;   -- implement machine system timer (MTIME)?
101
    IO_UART0_EN                  : boolean := true;   -- implement primary universal asynchronous receiver/transmitter (UART0)?
102 65 zero_gravi
    IO_UART0_RX_FIFO             : natural := 1;      -- RX fifo depth, has to be a power of two, min 1
103
    IO_UART0_TX_FIFO             : natural := 1;      -- TX fifo depth, has to be a power of two, min 1
104 63 zero_gravi
    IO_UART1_EN                  : boolean := true;   -- implement secondary universal asynchronous receiver/transmitter (UART1)?
105 65 zero_gravi
    IO_UART1_RX_FIFO             : natural := 1;      -- RX fifo depth, has to be a power of two, min 1
106
    IO_UART1_TX_FIFO             : natural := 1;      -- TX fifo depth, has to be a power of two, min 1
107 63 zero_gravi
    IO_SPI_EN                    : boolean := true;   -- implement serial peripheral interface (SPI)?
108
    IO_TWI_EN                    : boolean := true;   -- implement two-wire interface (TWI)?
109
    IO_PWM_NUM_CH                : natural := 4;      -- number of PWM channels to implement (0..60); 0 = disabled
110
    IO_WDT_EN                    : boolean := true;   -- implement watch dog timer (WDT)?
111
    IO_TRNG_EN                   : boolean := false;  -- implement true random number generator (TRNG)?
112
    IO_CFS_EN                    : boolean := false;  -- implement custom functions subsystem (CFS)?
113
    IO_CFS_CONFIG                : std_ulogic_vector(31 downto 0); -- custom CFS configuration generic
114
    IO_CFS_IN_SIZE               : positive := 32;    -- size of CFS input conduit in bits
115
    IO_CFS_OUT_SIZE              : positive := 32;    -- size of CFS output conduit in bits
116 67 zero_gravi
    IO_NEOLED_EN                 : boolean := true;   -- implement NeoPixel-compatible smart LED interface (NEOLED)?
117
    IO_GPTMR_EN                  : boolean := false   -- implement general purpose timer (GPTMR)?
118 63 zero_gravi
  );
119
  port (
120
    -- Global control --
121
    clk_i          : in  std_logic := '0'; -- global clock, rising edge
122
    rstn_i         : in  std_logic := '0'; -- global reset, low-active, async
123
    -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) --
124
    jtag_trst_i    : in  std_logic := '0'; -- low-active TAP reset (optional)
125
    jtag_tck_i     : in  std_logic := '0'; -- serial clock
126
    jtag_tdi_i     : in  std_logic := '0'; -- serial data input
127
    jtag_tdo_o     : out std_logic;        -- serial data output
128
    jtag_tms_i     : in  std_logic := '0'; -- mode select
129
    -- Wishbone bus interface (available if MEM_EXT_EN = true) --
130
    wb_tag_o       : out std_logic_vector(02 downto 0); -- tag
131
    wb_adr_o       : out std_logic_vector(31 downto 0); -- address
132
    wb_dat_i       : in  std_logic_vector(31 downto 0) := (others => '0'); -- read data
133
    wb_dat_o       : out std_logic_vector(31 downto 0); -- write data
134
    wb_we_o        : out std_logic; -- read/write
135
    wb_sel_o       : out std_logic_vector(03 downto 0); -- byte enable
136
    wb_stb_o       : out std_logic; -- strobe
137
    wb_cyc_o       : out std_logic; -- valid cycle
138
    wb_lock_o      : out std_logic; -- exclusive access request
139
    wb_ack_i       : in  std_logic := '0'; -- transfer acknowledge
140
    wb_err_i       : in  std_logic := '0'; -- transfer error
141
    -- Advanced memory control signals (available if MEM_EXT_EN = true) --
142
    fence_o        : out std_logic; -- indicates an executed FENCE operation
143
    fencei_o       : out std_logic; -- indicates an executed FENCEI operation
144
    -- TX stream interfaces (available if SLINK_NUM_TX > 0) --
145
    slink_tx_dat_o : out sdata_8x32r_t; -- output data
146
    slink_tx_val_o : out std_logic_vector(7 downto 0); -- valid output
147
    slink_tx_rdy_i : in  std_logic_vector(7 downto 0) := (others => '0'); -- ready to send
148
    -- RX stream interfaces (available if SLINK_NUM_RX > 0) --
149
    slink_rx_dat_i : in  sdata_8x32r_t := (others => (others => '0')); -- input data
150
    slink_rx_val_i : in  std_logic_vector(7 downto 0) := (others => '0'); -- valid input
151
    slink_rx_rdy_o : out std_logic_vector(7 downto 0); -- ready to receive
152
    -- GPIO (available if IO_GPIO_EN = true) --
153
    gpio_o         : out std_logic_vector(63 downto 0); -- parallel output
154
    gpio_i         : in  std_logic_vector(63 downto 0) := (others => '0'); -- parallel input
155
    -- primary UART0 (available if IO_UART0_EN = true) --
156
    uart0_txd_o    : out std_logic; -- UART0 send data
157
    uart0_rxd_i    : in  std_logic := '0'; -- UART0 receive data
158
    uart0_rts_o    : out std_logic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
159
    uart0_cts_i    : in  std_logic := '0'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional
160
    -- secondary UART1 (available if IO_UART1_EN = true) --
161
    uart1_txd_o    : out std_logic; -- UART1 send data
162
    uart1_rxd_i    : in  std_logic := '0'; -- UART1 receive data
163
    uart1_rts_o    : out std_logic; -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
164
    uart1_cts_i    : in  std_logic := '0'; -- hw flow control: UART1.TX allowed to transmit, low-active, optional
165
    -- SPI (available if IO_SPI_EN = true) --
166
    spi_sck_o      : out std_logic; -- SPI serial clock
167
    spi_sdo_o      : out std_logic; -- controller data out, peripheral data in
168
    spi_sdi_i      : in  std_logic := '0'; -- controller data in, peripheral data out
169
    spi_csn_o      : out std_logic_vector(07 downto 0); -- SPI CS
170
    -- TWI (available if IO_TWI_EN = true) --
171
    twi_sda_io     : inout std_logic; -- twi serial data line
172
    twi_scl_io     : inout std_logic; -- twi serial clock line
173
    -- PWM (available if IO_PWM_NUM_CH > 0) --
174
    pwm_o          : out std_logic_vector(IO_PWM_NUM_CH-1 downto 0); -- pwm channels
175
    -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) --
176
    cfs_in_i       : in  std_logic_vector(IO_CFS_IN_SIZE-1  downto 0); -- custom inputs
177
    cfs_out_o      : out std_logic_vector(IO_CFS_OUT_SIZE-1 downto 0); -- custom outputs
178
    -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
179
    neoled_o       : out std_logic; -- async serial data line
180
    -- System time --
181
    mtime_i        : in  std_logic_vector(63 downto 0) := (others => '0'); -- current system time from ext. MTIME (if IO_MTIME_EN = false)
182
    mtime_o        : out std_logic_vector(63 downto 0); -- current system time from int. MTIME (if IO_MTIME_EN = true)
183
    -- External platform interrupts (available if XIRQ_NUM_CH > 0) --
184
    xirq_i         : in  std_logic_vector(XIRQ_NUM_CH-1 downto 0) := (others => '0'); -- IRQ channels
185
    -- CPU Interrupts --
186
    mtime_irq_i    : in  std_logic := '0'; -- machine timer interrupt, available if IO_MTIME_EN = false
187
    msw_irq_i      : in  std_logic := '0'; -- machine software interrupt
188
    mext_irq_i     : in  std_logic := '0'  -- machine external interrupt
189
  );
190
end entity;
191
 
192
architecture neorv32_ProcessorTop_stdlogic_rtl of neorv32_ProcessorTop_stdlogic is
193
 
194
  -- type conversion --
195
  constant IO_CFS_CONFIG_INT         : std_ulogic_vector(31 downto 0) := std_ulogic_vector(IO_CFS_CONFIG);
196
  constant XIRQ_TRIGGER_TYPE_INT     : std_ulogic_vector(31 downto 0) := std_ulogic_vector(XIRQ_TRIGGER_TYPE);
197
  constant XIRQ_TRIGGER_POLARITY_INT : std_ulogic_vector(31 downto 0) := std_ulogic_vector(XIRQ_TRIGGER_POLARITY);
198
  --
199
  signal clk_i_int       : std_ulogic;
200
  signal rstn_i_int      : std_ulogic;
201
  --
202
  signal jtag_trst_i_int :std_ulogic;
203
  signal jtag_tck_i_int  :std_ulogic;
204
  signal jtag_tdi_i_int  :std_ulogic;
205
  signal jtag_tdo_o_int  :std_ulogic;
206
  signal jtag_tms_i_int  :std_ulogic;
207
  --
208
  signal wb_tag_o_int    : std_ulogic_vector(02 downto 0);
209
  signal wb_adr_o_int    : std_ulogic_vector(31 downto 0);
210
  signal wb_dat_i_int    : std_ulogic_vector(31 downto 0);
211
  signal wb_dat_o_int    : std_ulogic_vector(31 downto 0);
212
  signal wb_we_o_int     : std_ulogic;
213
  signal wb_sel_o_int    : std_ulogic_vector(03 downto 0);
214
  signal wb_stb_o_int    : std_ulogic;
215
  signal wb_cyc_o_int    : std_ulogic;
216
  signal wb_lock_o_int   : std_ulogic;
217
  signal wb_ack_i_int    : std_ulogic;
218
  signal wb_err_i_int    : std_ulogic;
219
  --
220
  signal fence_o_int     : std_ulogic;
221
  signal fencei_o_int    : std_ulogic;
222
  --
223
  signal slink_tx_dat_o_int : sdata_8x32_t;
224
  signal slink_tx_val_o_int : std_logic_vector(7 downto 0);
225
  signal slink_tx_rdy_i_int : std_logic_vector(7 downto 0);
226
  signal slink_rx_dat_i_int : sdata_8x32_t;
227
  signal slink_rx_val_i_int : std_logic_vector(7 downto 0);
228
  signal slink_rx_rdy_o_int : std_logic_vector(7 downto 0);
229
  --
230
  signal gpio_o_int      : std_ulogic_vector(63 downto 0);
231
  signal gpio_i_int      : std_ulogic_vector(63 downto 0);
232
  --
233
  signal uart0_txd_o_int : std_ulogic;
234
  signal uart0_rxd_i_int : std_ulogic;
235
  signal uart0_rts_o_int : std_ulogic;
236
  signal uart0_cts_i_int : std_ulogic;
237
  --
238
  signal uart1_txd_o_int : std_ulogic;
239
  signal uart1_rxd_i_int : std_ulogic;
240
  signal uart1_rts_o_int : std_ulogic;
241
  signal uart1_cts_i_int : std_ulogic;
242
  --
243
  signal spi_sck_o_int   : std_ulogic;
244
  signal spi_sdo_o_int   : std_ulogic;
245
  signal spi_sdi_i_int   : std_ulogic;
246
  signal spi_csn_o_int   : std_ulogic_vector(07 downto 0);
247
  --
248
  signal pwm_o_int       : std_ulogic_vector(IO_PWM_NUM_CH-1 downto 0);
249
  --
250
  signal cfs_in_i_int    : std_ulogic_vector(IO_CFS_IN_SIZE-1  downto 0);
251
  signal cfs_out_o_int   : std_ulogic_vector(IO_CFS_OUT_SIZE-1 downto 0);
252
  --
253
  signal neoled_o_int    : std_ulogic;
254
  --
255
  signal mtime_i_int     : std_ulogic_vector(63 downto 0);
256
  signal mtime_o_int     : std_ulogic_vector(63 downto 0);
257
  --
258
  signal xirq_i_int      : std_ulogic_vector(XIRQ_NUM_CH-1 downto 0);
259
  --
260
  signal mtime_irq_i_int : std_ulogic;
261
  signal msw_irq_i_int   : std_ulogic;
262
  signal mext_irq_i_int  : std_ulogic;
263
 
264
begin
265
 
266
  -- The Core Of The Problem ----------------------------------------------------------------
267
  -- -------------------------------------------------------------------------------------------
268
  neorv32_top_inst: neorv32_top
269
  generic map (
270
    -- General --
271
    CLOCK_FREQUENCY              => CLOCK_FREQUENCY,    -- clock frequency of clk_i in Hz
272
    INT_BOOTLOADER_EN            => INT_BOOTLOADER_EN,  -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
273
    HW_THREAD_ID                 => HW_THREAD_ID,       -- hardware thread id (hartid) (32-bit)
274
    -- On-Chip Debugger (OCD) --
275
    ON_CHIP_DEBUGGER_EN          => ON_CHIP_DEBUGGER_EN,          -- implement on-chip debugger
276
    -- RISC-V CPU Extensions --
277
    CPU_EXTENSION_RISCV_A        => CPU_EXTENSION_RISCV_A,        -- implement atomic extension?
278 66 zero_gravi
    CPU_EXTENSION_RISCV_B        => CPU_EXTENSION_RISCV_B,        -- implement bit-manipulation extension?
279 63 zero_gravi
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,        -- implement compressed extension?
280
    CPU_EXTENSION_RISCV_E        => CPU_EXTENSION_RISCV_E,        -- implement embedded RF extension?
281
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,        -- implement muld/div extension?
282
    CPU_EXTENSION_RISCV_U        => CPU_EXTENSION_RISCV_U,        -- implement user mode extension?
283
    CPU_EXTENSION_RISCV_Zfinx    => CPU_EXTENSION_RISCV_Zfinx,    -- implement 32-bit floating-point extension (using INT reg!)
284
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
285 66 zero_gravi
    CPU_EXTENSION_RISCV_Zicntr   => CPU_EXTENSION_RISCV_Zicntr,   -- implement base counters?
286
    CPU_EXTENSION_RISCV_Zihpm    => CPU_EXTENSION_RISCV_Zihpm,    -- implement hardware performance monitors?
287 63 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
288
    -- Extension Options --
289
    FAST_MUL_EN                  => FAST_MUL_EN,        -- use DSPs for M extension's multiplier
290
    FAST_SHIFT_EN                => FAST_SHIFT_EN,      -- use barrel shifter for shift operations
291
    CPU_CNT_WIDTH                => CPU_CNT_WIDTH,      -- total width of CPU cycle and instret counters (0..64)
292
    -- Physical Memory Protection (PMP) --
293
    PMP_NUM_REGIONS              => PMP_NUM_REGIONS,    -- number of regions (0..64)
294
    PMP_MIN_GRANULARITY          => PMP_MIN_GRANULARITY, -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
295
    -- Hardware Performance Monitors (HPM) --
296
    HPM_NUM_CNTS                 => HPM_NUM_CNTS,       -- number of implemented HPM counters (0..29)
297
    HPM_CNT_WIDTH                => HPM_CNT_WIDTH,      -- total size of HPM counters (0..64)
298
    -- Internal Instruction memory --
299
    MEM_INT_IMEM_EN              => MEM_INT_IMEM_EN,    -- implement processor-internal instruction memory
300
    MEM_INT_IMEM_SIZE            => MEM_INT_IMEM_SIZE,  -- size of processor-internal instruction memory in bytes
301
    -- Internal Data memory --
302
    MEM_INT_DMEM_EN              => MEM_INT_DMEM_EN,    -- implement processor-internal data memory
303
    MEM_INT_DMEM_SIZE            => MEM_INT_DMEM_SIZE,  -- size of processor-internal data memory in bytes
304
    -- Internal Cache memory --
305
    ICACHE_EN                    => ICACHE_EN,          -- implement instruction cache
306
    ICACHE_NUM_BLOCKS            => ICACHE_NUM_BLOCKS,  -- i-cache: number of blocks (min 1), has to be a power of 2
307
    ICACHE_BLOCK_SIZE            => ICACHE_BLOCK_SIZE,  -- i-cache: block size in bytes (min 4), has to be a power of 2
308
    ICACHE_ASSOCIATIVITY         => ICACHE_ASSOCIATIVITY, -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
309
    -- External memory interface --
310
    MEM_EXT_EN                   => MEM_EXT_EN,         -- implement external memory bus interface?
311
    MEM_EXT_TIMEOUT              => MEM_EXT_TIMEOUT,    -- cycles after a pending bus access auto-terminates (0 = disabled)
312
    MEM_EXT_PIPE_MODE            => MEM_EXT_PIPE_MODE,  -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
313
    MEM_EXT_BIG_ENDIAN           => MEM_EXT_BIG_ENDIAN, -- byte order: true=big-endian, false=little-endian
314
    MEM_EXT_ASYNC_RX             => MEM_EXT_ASYNC_RX,   -- use register buffer for RX data when false
315
    -- Stream link interface --
316
    SLINK_NUM_TX                 => SLINK_NUM_TX,       -- number of TX links (0..8)
317
    SLINK_NUM_RX                 => SLINK_NUM_RX,       -- number of TX links (0..8)
318
    SLINK_TX_FIFO                => SLINK_TX_FIFO,      -- TX fifo depth, has to be a power of two
319
    SLINK_RX_FIFO                => SLINK_RX_FIFO,      -- RX fifo depth, has to be a power of two
320
    -- External Interrupts Controller (XIRQ) --
321
    XIRQ_NUM_CH                  => XIRQ_NUM_CH, -- number of external IRQ channels (0..32)
322
    XIRQ_TRIGGER_TYPE            => XIRQ_TRIGGER_TYPE_INT, -- trigger type: 0=level, 1=edge
323
    XIRQ_TRIGGER_POLARITY        => XIRQ_TRIGGER_POLARITY_INT, -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
324
    -- Processor peripherals --
325
    IO_GPIO_EN                   => IO_GPIO_EN,         -- implement general purpose input/output port unit (GPIO)?
326
    IO_MTIME_EN                  => IO_MTIME_EN,        -- implement machine system timer (MTIME)?
327
    IO_UART0_EN                  => IO_UART0_EN,        -- implement primary universal asynchronous receiver/transmitter (UART0)?
328 65 zero_gravi
    IO_UART0_RX_FIFO             => IO_UART0_RX_FIFO,   -- RX fifo depth, has to be a power of two, min 1
329
    IO_UART0_TX_FIFO             => IO_UART0_TX_FIFO,   -- TX fifo depth, has to be a power of two, min 1
330 63 zero_gravi
    IO_UART1_EN                  => IO_UART1_EN,        -- implement secondary universal asynchronous receiver/transmitter (UART1)?
331 65 zero_gravi
    IO_UART1_RX_FIFO             => IO_UART1_RX_FIFO,   -- RX fifo depth, has to be a power of two, min 1
332
    IO_UART1_TX_FIFO             => IO_UART1_TX_FIFO,   -- TX fifo depth, has to be a power of two, min 1
333 63 zero_gravi
    IO_SPI_EN                    => IO_SPI_EN,          -- implement serial peripheral interface (SPI)?
334
    IO_TWI_EN                    => IO_TWI_EN,          -- implement two-wire interface (TWI)?
335
    IO_PWM_NUM_CH                => IO_PWM_NUM_CH,      -- number of PWM channels to implement (0..60); 0 = disabled
336
    IO_WDT_EN                    => IO_WDT_EN,          -- implement watch dog timer (WDT)?
337
    IO_TRNG_EN                   => IO_TRNG_EN,         -- implement true random number generator (TRNG)?
338
    IO_CFS_EN                    => IO_CFS_EN,          -- implement custom functions subsystem (CFS)?
339
    IO_CFS_CONFIG                => IO_CFS_CONFIG_INT,  -- custom CFS configuration generic
340
    IO_CFS_IN_SIZE               => IO_CFS_IN_SIZE,     -- size of CFS input conduit in bits
341
    IO_CFS_OUT_SIZE              => IO_CFS_OUT_SIZE,    -- size of CFS output conduit in bits
342 67 zero_gravi
    IO_NEOLED_EN                 => IO_NEOLED_EN,       -- implement NeoPixel-compatible smart LED interface (NEOLED)?
343
    IO_GPTMR_EN                  => IO_GPTMR_EN         -- implement general purpose timer (GPTMR)?
344 63 zero_gravi
  )
345
  port map (
346
    -- Global control --
347
    clk_i          => clk_i_int,       -- global clock, rising edge
348
    rstn_i         => rstn_i_int,      -- global reset, low-active, async
349
    -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) --
350
    jtag_trst_i    => jtag_trst_i_int, -- low-active TAP reset (optional)
351
    jtag_tck_i     => jtag_tck_i_int,  -- serial clock
352
    jtag_tdi_i     => jtag_tdi_i_int,  -- serial data input
353
    jtag_tdo_o     => jtag_tdo_o_int,  -- serial data output
354
    jtag_tms_i     => jtag_tms_i_int,  -- mode select
355
    -- Wishbone bus interface (available if MEM_EXT_EN = true) --
356
    wb_tag_o       => wb_tag_o_int,    -- tag
357
    wb_adr_o       => wb_adr_o_int,    -- address
358
    wb_dat_i       => wb_dat_i_int,    -- read data
359
    wb_dat_o       => wb_dat_o_int,    -- write data
360
    wb_we_o        => wb_we_o_int,     -- read/write
361
    wb_sel_o       => wb_sel_o_int,    -- byte enable
362
    wb_stb_o       => wb_stb_o_int,    -- strobe
363
    wb_cyc_o       => wb_cyc_o_int,    -- valid cycle
364
    wb_lock_o      => wb_lock_o_int,   -- exclusive access request
365
    wb_ack_i       => wb_ack_i_int,    -- transfer acknowledge
366
    wb_err_i       => wb_err_i_int,    -- transfer error
367
    -- Advanced memory control signals (available if MEM_EXT_EN = true) --
368
    fence_o        => fence_o_int,     -- indicates an executed FENCE operation
369
    fencei_o       => fencei_o_int,    -- indicates an executed FENCEI operation
370
    -- TX stream interfaces (available if SLINK_NUM_TX > 0) --
371
    slink_tx_dat_o => slink_tx_dat_o_int, -- output data
372
    slink_tx_val_o => slink_tx_val_o_int, -- valid output
373
    slink_tx_rdy_i => slink_tx_rdy_i_int, -- ready to send
374
    -- RX stream interfaces (available if SLINK_NUM_RX > 0) --
375
    slink_rx_dat_i => slink_rx_dat_i_int, -- input data
376
    slink_rx_val_i => slink_rx_val_i_int, -- valid input
377
    slink_rx_rdy_o => slink_rx_rdy_o_int, -- ready to receive
378
    -- GPIO (available if IO_GPIO_EN = true) --
379
    gpio_o         => gpio_o_int,      -- parallel output
380
    gpio_i         => gpio_i_int,      -- parallel input
381
    -- primary UART0 (available if IO_UART0_EN = true) --
382
    uart0_txd_o    => uart0_txd_o_int, -- UART0 send data
383
    uart0_rxd_i    => uart0_rxd_i_int, -- UART0 receive data
384
    uart0_rts_o    => uart0_rts_o_int, -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
385
    uart0_cts_i    => uart0_cts_i_int, -- hw flow control: UART0.TX allowed to transmit, low-active, optional
386
    -- secondary UART1 (available if IO_UART1_EN = true) --
387
    uart1_txd_o    => uart1_txd_o_int, -- UART1 send data
388
    uart1_rxd_i    => uart1_rxd_i_int, -- UART1 receive data
389
    uart1_rts_o    => uart1_rts_o_int, -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
390
    uart1_cts_i    => uart1_cts_i_int, -- hw flow control: UART1.TX allowed to transmit, low-active, optional
391
    -- SPI (available if IO_SPI_EN = true) --
392
    spi_sck_o      => spi_sck_o_int,   -- SPI serial clock
393
    spi_sdo_o      => spi_sdo_o_int,   -- controller data out, peripheral data in
394
    spi_sdi_i      => spi_sdi_i_int,   -- controller data in, peripheral data out
395
    spi_csn_o      => spi_csn_o_int,   -- SPI CS
396
    -- TWI (available if IO_TWI_EN = true) --
397
    twi_sda_io     => twi_sda_io,      -- twi serial data line
398
    twi_scl_io     => twi_scl_io,      -- twi serial clock line
399
    -- PWM (available if IO_PWM_NUM_CH > 0) --
400
    pwm_o          => pwm_o_int,       -- pwm channels
401
    -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) --
402
    cfs_in_i       => cfs_in_i_int,    -- custom inputs
403
    cfs_out_o      => cfs_out_o_int,   -- custom outputs
404
    -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
405
    neoled_o       => neoled_o_int,    -- async serial data line
406
    -- System time --
407
    mtime_i        => mtime_i_int,     -- current system time from ext. MTIME (if IO_MTIME_EN = false)
408
    mtime_o        => mtime_o_int,     -- current system time from int. MTIME (if IO_MTIME_EN = true)
409
    -- External platform interrupts (available if XIRQ_NUM_CH > 0) --
410
    xirq_i         => xirq_i_int,      -- IRQ channels
411
    -- CPU Interrupts --
412
    mtime_irq_i    => mtime_irq_i_int, -- machine timer interrupt, available if IO_MTIME_EN = false
413
    msw_irq_i      => msw_irq_i_int,   -- machine software interrupt
414
    mext_irq_i     => mext_irq_i_int   -- machine external interrupt
415
  );
416
 
417
  -- type conversion --
418
  clk_i_int       <= std_ulogic(clk_i);
419
  rstn_i_int      <= std_ulogic(rstn_i);
420
 
421
  jtag_trst_i_int <= std_ulogic(jtag_trst_i);
422
  jtag_tck_i_int  <= std_ulogic(jtag_tck_i);
423
  jtag_tdi_i_int  <= std_ulogic(jtag_tdi_i);
424
  jtag_tdo_o      <= std_logic(jtag_tdo_o_int);
425
  jtag_tms_i_int  <= std_ulogic(jtag_tms_i);
426
 
427
  wb_tag_o        <= std_logic_vector(wb_tag_o_int);
428
  wb_adr_o        <= std_logic_vector(wb_adr_o_int);
429
  wb_dat_i_int    <= std_ulogic_vector(wb_dat_i);
430
  wb_dat_o        <= std_logic_vector(wb_dat_o_int);
431
  wb_we_o         <= std_logic(wb_we_o_int);
432
  wb_sel_o        <= std_logic_vector(wb_sel_o_int);
433
  wb_stb_o        <= std_logic(wb_stb_o_int);
434
  wb_cyc_o        <= std_logic(wb_cyc_o_int);
435
  wb_lock_o       <= std_logic(wb_lock_o_int);
436
  wb_ack_i_int    <= std_ulogic(wb_ack_i);
437
  wb_err_i_int    <= std_ulogic(wb_err_i);
438
 
439
  fence_o         <= std_logic(fence_o_int);
440
  fencei_o        <= std_logic(fencei_o_int);
441
 
442
  slink_tx_val_o     <= std_logic_vector(slink_tx_val_o_int);
443
  slink_tx_rdy_i_int <= std_ulogic_vector(slink_tx_rdy_i);
444
  slink_rx_val_i_int <= std_ulogic_vector(slink_rx_val_i);
445
  slink_rx_rdy_o     <= std_logic_vector(slink_rx_rdy_o_int);
446
 
447
  slink_conv:
448
  for i in 0 to 7 generate
449
    slink_tx_dat_o(i)     <= std_logic_vector(slink_tx_dat_o_int(i));
450
    slink_rx_dat_i_int(i) <= std_ulogic_vector(slink_rx_dat_i(i));
451
  end generate;
452
 
453
  gpio_o          <= std_logic_vector(gpio_o_int);
454
  gpio_i_int      <= std_ulogic_vector(gpio_i);
455
 
456
  uart0_txd_o     <= std_logic(uart0_txd_o_int);
457
  uart0_rxd_i_int <= std_ulogic(uart0_rxd_i);
458 65 zero_gravi
  uart0_rts_o     <= std_logic(uart0_rts_o_int);
459
  uart0_cts_i_int <= std_ulogic(uart0_cts_i);
460 63 zero_gravi
  uart1_txd_o     <= std_logic(uart1_txd_o_int);
461
  uart1_rxd_i_int <= std_ulogic(uart1_rxd_i);
462 65 zero_gravi
  uart1_rts_o     <= std_logic(uart1_rts_o_int);
463
  uart1_cts_i_int <= std_ulogic(uart1_cts_i);
464 63 zero_gravi
 
465
  spi_sck_o       <= std_logic(spi_sck_o_int);
466
  spi_sdo_o       <= std_logic(spi_sdo_o_int);
467
  spi_sdi_i_int   <= std_ulogic(spi_sdi_i);
468
  spi_csn_o       <= std_logic_vector(spi_csn_o_int);
469
 
470
  pwm_o           <= std_logic_vector(pwm_o_int);
471
 
472
  cfs_in_i_int    <= std_ulogic_vector(cfs_in_i);
473
  cfs_out_o       <= std_logic_vector(cfs_out_o_int);
474
 
475
  neoled_o        <= std_logic(neoled_o_int);
476
 
477
  mtime_i_int     <= std_ulogic_vector(mtime_i);
478
  mtime_o         <= std_logic_vector(mtime_o_int);
479
 
480
  xirq_i_int      <= std_ulogic_vector(xirq_i);
481
 
482
  msw_irq_i_int   <= std_ulogic(msw_irq_i);
483
  mext_irq_i_int  <= std_ulogic(mext_irq_i);
484
 
485
 
486
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.