OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [system_integration/] [neorv32_ProcessorTop_stdlogic.vhd] - Blame information for rev 70

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 63 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Processor Top Entity with Resolved Port Signals (std_logic/std_logic_vector) >>  #
3
-- # ********************************************************************************************* #
4
-- # BSD 3-Clause License                                                                          #
5
-- #                                                                                               #
6 70 zero_gravi
-- # Copyright (c) 2022, Stephan Nolting. All rights reserved.                                     #
7 63 zero_gravi
-- #                                                                                               #
8
-- # Redistribution and use in source and binary forms, with or without modification, are          #
9
-- # permitted provided that the following conditions are met:                                     #
10
-- #                                                                                               #
11
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
12
-- #    conditions and the following disclaimer.                                                   #
13
-- #                                                                                               #
14
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
15
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
16
-- #    provided with the distribution.                                                            #
17
-- #                                                                                               #
18
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
19
-- #    endorse or promote products derived from this software without specific prior written      #
20
-- #    permission.                                                                                #
21
-- #                                                                                               #
22
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
23
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
24
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
25
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
26
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
27
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
28
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
29
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
30
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
31
-- # ********************************************************************************************* #
32
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
33
-- #################################################################################################
34
 
35
library ieee;
36
use ieee.std_logic_1164.all;
37
use ieee.numeric_std.all;
38
 
39
library neorv32;
40
use neorv32.neorv32_package.all;
41
 
42
entity neorv32_ProcessorTop_stdlogic is
43
  generic (
44
    -- General --
45
    CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
46
    INT_BOOTLOADER_EN            : boolean := true;   -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
47
    HW_THREAD_ID                 : natural := 0;      -- hardware thread id (32-bit)
48
    -- On-Chip Debugger (OCD) --
49
    ON_CHIP_DEBUGGER_EN          : boolean := false;  -- implement on-chip debugger
50
    -- RISC-V CPU Extensions --
51
    CPU_EXTENSION_RISCV_A        : boolean := false;  -- implement atomic extension?
52 66 zero_gravi
    CPU_EXTENSION_RISCV_B        : boolean := false;  -- implement bit-manipulation extension?
53 63 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
54
    CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
55
    CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement muld/div extension?
56
    CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
57
    CPU_EXTENSION_RISCV_Zfinx    : boolean := false;  -- implement 32-bit floating-point extension (using INT reg!)
58
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
59 66 zero_gravi
    CPU_EXTENSION_RISCV_Zicntr   : boolean := true;   -- implement base counters?
60
    CPU_EXTENSION_RISCV_Zihpm    : boolean := false;  -- implement hardware performance monitors?
61 63 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei : boolean := false;  -- implement instruction stream sync.?
62
    -- Extension Options --
63
    FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
64
    FAST_SHIFT_EN                : boolean := false;  -- use barrel shifter for shift operations
65
    CPU_CNT_WIDTH                : natural := 64;     -- total width of CPU cycle and instret counters (0..64)
66
    -- Physical Memory Protection (PMP) --
67
    PMP_NUM_REGIONS              : natural := 0;      -- number of regions (0..64)
68
    PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
69
    -- Hardware Performance Monitors (HPM) --
70
    HPM_NUM_CNTS                 : natural := 0;      -- number of implemented HPM counters (0..29)
71
    HPM_CNT_WIDTH                : natural := 40;     -- total size of HPM counters (0..64)
72
    -- Internal Instruction memory --
73
    MEM_INT_IMEM_EN              : boolean := true;   -- implement processor-internal instruction memory
74
    MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
75
    -- Internal Data memory --
76
    MEM_INT_DMEM_EN              : boolean := true;   -- implement processor-internal data memory
77
    MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
78
    -- Internal Cache memory --
79
    ICACHE_EN                    : boolean := false;  -- implement instruction cache
80
    ICACHE_NUM_BLOCKS            : natural := 4;      -- i-cache: number of blocks (min 1), has to be a power of 2
81
    ICACHE_BLOCK_SIZE            : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
82
    ICACHE_ASSOCIATIVITY         : natural := 1;      -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
83
    -- External memory interface --
84
    MEM_EXT_EN                   : boolean := false;  -- implement external memory bus interface?
85
    MEM_EXT_TIMEOUT              : natural := 255;    -- cycles after a pending bus access auto-terminates (0 = disabled)
86
    MEM_EXT_PIPE_MODE            : boolean := false;  -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
87
    MEM_EXT_BIG_ENDIAN           : boolean := false;  -- byte order: true=big-endian, false=little-endian
88
    MEM_EXT_ASYNC_RX             : boolean := false;  -- use register buffer for RX data when false
89
    -- Stream link interface --
90
    SLINK_NUM_TX                 : natural := 0;      -- number of TX links (0..8)
91
    SLINK_NUM_RX                 : natural := 0;      -- number of TX links (0..8)
92
    SLINK_TX_FIFO                : natural := 1;      -- TX fifo depth, has to be a power of two
93
    SLINK_RX_FIFO                : natural := 1;      -- RX fifo depth, has to be a power of two
94
    -- External Interrupts Controller (XIRQ) --
95
    XIRQ_NUM_CH                  : natural := 0;      -- number of external IRQ channels (0..32)
96
    XIRQ_TRIGGER_TYPE            : std_logic_vector(31 downto 0) := (others => '1'); -- trigger type: 0=level, 1=edge
97
    XIRQ_TRIGGER_POLARITY        : std_logic_vector(31 downto 0) := (others => '1'); -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
98
    -- Processor peripherals --
99
    IO_GPIO_EN                   : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
100
    IO_MTIME_EN                  : boolean := true;   -- implement machine system timer (MTIME)?
101
    IO_UART0_EN                  : boolean := true;   -- implement primary universal asynchronous receiver/transmitter (UART0)?
102 65 zero_gravi
    IO_UART0_RX_FIFO             : natural := 1;      -- RX fifo depth, has to be a power of two, min 1
103
    IO_UART0_TX_FIFO             : natural := 1;      -- TX fifo depth, has to be a power of two, min 1
104 63 zero_gravi
    IO_UART1_EN                  : boolean := true;   -- implement secondary universal asynchronous receiver/transmitter (UART1)?
105 65 zero_gravi
    IO_UART1_RX_FIFO             : natural := 1;      -- RX fifo depth, has to be a power of two, min 1
106
    IO_UART1_TX_FIFO             : natural := 1;      -- TX fifo depth, has to be a power of two, min 1
107 63 zero_gravi
    IO_SPI_EN                    : boolean := true;   -- implement serial peripheral interface (SPI)?
108
    IO_TWI_EN                    : boolean := true;   -- implement two-wire interface (TWI)?
109
    IO_PWM_NUM_CH                : natural := 4;      -- number of PWM channels to implement (0..60); 0 = disabled
110
    IO_WDT_EN                    : boolean := true;   -- implement watch dog timer (WDT)?
111
    IO_TRNG_EN                   : boolean := false;  -- implement true random number generator (TRNG)?
112
    IO_CFS_EN                    : boolean := false;  -- implement custom functions subsystem (CFS)?
113
    IO_CFS_CONFIG                : std_ulogic_vector(31 downto 0); -- custom CFS configuration generic
114
    IO_CFS_IN_SIZE               : positive := 32;    -- size of CFS input conduit in bits
115
    IO_CFS_OUT_SIZE              : positive := 32;    -- size of CFS output conduit in bits
116 67 zero_gravi
    IO_NEOLED_EN                 : boolean := true;   -- implement NeoPixel-compatible smart LED interface (NEOLED)?
117 70 zero_gravi
    IO_GPTMR_EN                  : boolean := false;  -- implement general purpose timer (GPTMR)?
118
    IO_XIP_EN                    : boolean := false   -- implement execute in place module (XIP)?
119 63 zero_gravi
  );
120
  port (
121
    -- Global control --
122
    clk_i          : in  std_logic := '0'; -- global clock, rising edge
123
    rstn_i         : in  std_logic := '0'; -- global reset, low-active, async
124
    -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) --
125
    jtag_trst_i    : in  std_logic := '0'; -- low-active TAP reset (optional)
126
    jtag_tck_i     : in  std_logic := '0'; -- serial clock
127
    jtag_tdi_i     : in  std_logic := '0'; -- serial data input
128
    jtag_tdo_o     : out std_logic;        -- serial data output
129
    jtag_tms_i     : in  std_logic := '0'; -- mode select
130
    -- Wishbone bus interface (available if MEM_EXT_EN = true) --
131
    wb_tag_o       : out std_logic_vector(02 downto 0); -- tag
132
    wb_adr_o       : out std_logic_vector(31 downto 0); -- address
133
    wb_dat_i       : in  std_logic_vector(31 downto 0) := (others => '0'); -- read data
134
    wb_dat_o       : out std_logic_vector(31 downto 0); -- write data
135
    wb_we_o        : out std_logic; -- read/write
136
    wb_sel_o       : out std_logic_vector(03 downto 0); -- byte enable
137
    wb_stb_o       : out std_logic; -- strobe
138
    wb_cyc_o       : out std_logic; -- valid cycle
139
    wb_lock_o      : out std_logic; -- exclusive access request
140
    wb_ack_i       : in  std_logic := '0'; -- transfer acknowledge
141
    wb_err_i       : in  std_logic := '0'; -- transfer error
142
    -- Advanced memory control signals (available if MEM_EXT_EN = true) --
143
    fence_o        : out std_logic; -- indicates an executed FENCE operation
144
    fencei_o       : out std_logic; -- indicates an executed FENCEI operation
145 70 zero_gravi
    -- XIP (execute in place via SPI) signals (available if IO_XIP_EN = true) --
146
    xip_csn_o      : out std_logic; -- chip-select, low-active
147
    xip_clk_o      : out std_logic; -- serial clock
148
    xip_sdi_i      : in  std_logic := 'L'; -- device data input
149
    xip_sdo_o      : out std_logic; -- controller data output
150 63 zero_gravi
    -- TX stream interfaces (available if SLINK_NUM_TX > 0) --
151
    slink_tx_dat_o : out sdata_8x32r_t; -- output data
152
    slink_tx_val_o : out std_logic_vector(7 downto 0); -- valid output
153
    slink_tx_rdy_i : in  std_logic_vector(7 downto 0) := (others => '0'); -- ready to send
154
    -- RX stream interfaces (available if SLINK_NUM_RX > 0) --
155
    slink_rx_dat_i : in  sdata_8x32r_t := (others => (others => '0')); -- input data
156
    slink_rx_val_i : in  std_logic_vector(7 downto 0) := (others => '0'); -- valid input
157
    slink_rx_rdy_o : out std_logic_vector(7 downto 0); -- ready to receive
158
    -- GPIO (available if IO_GPIO_EN = true) --
159
    gpio_o         : out std_logic_vector(63 downto 0); -- parallel output
160
    gpio_i         : in  std_logic_vector(63 downto 0) := (others => '0'); -- parallel input
161
    -- primary UART0 (available if IO_UART0_EN = true) --
162
    uart0_txd_o    : out std_logic; -- UART0 send data
163
    uart0_rxd_i    : in  std_logic := '0'; -- UART0 receive data
164
    uart0_rts_o    : out std_logic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
165
    uart0_cts_i    : in  std_logic := '0'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional
166
    -- secondary UART1 (available if IO_UART1_EN = true) --
167
    uart1_txd_o    : out std_logic; -- UART1 send data
168
    uart1_rxd_i    : in  std_logic := '0'; -- UART1 receive data
169
    uart1_rts_o    : out std_logic; -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
170
    uart1_cts_i    : in  std_logic := '0'; -- hw flow control: UART1.TX allowed to transmit, low-active, optional
171
    -- SPI (available if IO_SPI_EN = true) --
172
    spi_sck_o      : out std_logic; -- SPI serial clock
173
    spi_sdo_o      : out std_logic; -- controller data out, peripheral data in
174
    spi_sdi_i      : in  std_logic := '0'; -- controller data in, peripheral data out
175
    spi_csn_o      : out std_logic_vector(07 downto 0); -- SPI CS
176
    -- TWI (available if IO_TWI_EN = true) --
177
    twi_sda_io     : inout std_logic; -- twi serial data line
178
    twi_scl_io     : inout std_logic; -- twi serial clock line
179
    -- PWM (available if IO_PWM_NUM_CH > 0) --
180 70 zero_gravi
    pwm_o          : out std_logic_vector(59 downto 0); -- pwm channels
181 63 zero_gravi
    -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) --
182
    cfs_in_i       : in  std_logic_vector(IO_CFS_IN_SIZE-1  downto 0); -- custom inputs
183
    cfs_out_o      : out std_logic_vector(IO_CFS_OUT_SIZE-1 downto 0); -- custom outputs
184
    -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
185
    neoled_o       : out std_logic; -- async serial data line
186
    -- System time --
187
    mtime_i        : in  std_logic_vector(63 downto 0) := (others => '0'); -- current system time from ext. MTIME (if IO_MTIME_EN = false)
188
    mtime_o        : out std_logic_vector(63 downto 0); -- current system time from int. MTIME (if IO_MTIME_EN = true)
189
    -- External platform interrupts (available if XIRQ_NUM_CH > 0) --
190 70 zero_gravi
    xirq_i         : in  std_logic_vector(31 downto 0) := (others => '0'); -- IRQ channels
191 63 zero_gravi
    -- CPU Interrupts --
192
    mtime_irq_i    : in  std_logic := '0'; -- machine timer interrupt, available if IO_MTIME_EN = false
193
    msw_irq_i      : in  std_logic := '0'; -- machine software interrupt
194
    mext_irq_i     : in  std_logic := '0'  -- machine external interrupt
195
  );
196
end entity;
197
 
198
architecture neorv32_ProcessorTop_stdlogic_rtl of neorv32_ProcessorTop_stdlogic is
199
 
200
  -- type conversion --
201
  constant IO_CFS_CONFIG_INT         : std_ulogic_vector(31 downto 0) := std_ulogic_vector(IO_CFS_CONFIG);
202
  constant XIRQ_TRIGGER_TYPE_INT     : std_ulogic_vector(31 downto 0) := std_ulogic_vector(XIRQ_TRIGGER_TYPE);
203
  constant XIRQ_TRIGGER_POLARITY_INT : std_ulogic_vector(31 downto 0) := std_ulogic_vector(XIRQ_TRIGGER_POLARITY);
204
  --
205
  signal clk_i_int       : std_ulogic;
206
  signal rstn_i_int      : std_ulogic;
207
  --
208
  signal jtag_trst_i_int :std_ulogic;
209
  signal jtag_tck_i_int  :std_ulogic;
210
  signal jtag_tdi_i_int  :std_ulogic;
211
  signal jtag_tdo_o_int  :std_ulogic;
212
  signal jtag_tms_i_int  :std_ulogic;
213
  --
214
  signal wb_tag_o_int    : std_ulogic_vector(02 downto 0);
215
  signal wb_adr_o_int    : std_ulogic_vector(31 downto 0);
216
  signal wb_dat_i_int    : std_ulogic_vector(31 downto 0);
217
  signal wb_dat_o_int    : std_ulogic_vector(31 downto 0);
218
  signal wb_we_o_int     : std_ulogic;
219
  signal wb_sel_o_int    : std_ulogic_vector(03 downto 0);
220
  signal wb_stb_o_int    : std_ulogic;
221
  signal wb_cyc_o_int    : std_ulogic;
222
  signal wb_lock_o_int   : std_ulogic;
223
  signal wb_ack_i_int    : std_ulogic;
224
  signal wb_err_i_int    : std_ulogic;
225
  --
226
  signal fence_o_int     : std_ulogic;
227
  signal fencei_o_int    : std_ulogic;
228
  --
229 70 zero_gravi
  signal xip_csn_o_int   : std_ulogic;
230
  signal xip_clk_o_int   : std_ulogic;
231
  signal xip_sdi_i_int   : std_ulogic;
232
  signal xip_sdo_o_int   : std_ulogic;
233
  --
234 63 zero_gravi
  signal slink_tx_dat_o_int : sdata_8x32_t;
235
  signal slink_tx_val_o_int : std_logic_vector(7 downto 0);
236
  signal slink_tx_rdy_i_int : std_logic_vector(7 downto 0);
237
  signal slink_rx_dat_i_int : sdata_8x32_t;
238
  signal slink_rx_val_i_int : std_logic_vector(7 downto 0);
239
  signal slink_rx_rdy_o_int : std_logic_vector(7 downto 0);
240
  --
241
  signal gpio_o_int      : std_ulogic_vector(63 downto 0);
242
  signal gpio_i_int      : std_ulogic_vector(63 downto 0);
243
  --
244
  signal uart0_txd_o_int : std_ulogic;
245
  signal uart0_rxd_i_int : std_ulogic;
246
  signal uart0_rts_o_int : std_ulogic;
247
  signal uart0_cts_i_int : std_ulogic;
248
  --
249
  signal uart1_txd_o_int : std_ulogic;
250
  signal uart1_rxd_i_int : std_ulogic;
251
  signal uart1_rts_o_int : std_ulogic;
252
  signal uart1_cts_i_int : std_ulogic;
253
  --
254
  signal spi_sck_o_int   : std_ulogic;
255
  signal spi_sdo_o_int   : std_ulogic;
256
  signal spi_sdi_i_int   : std_ulogic;
257
  signal spi_csn_o_int   : std_ulogic_vector(07 downto 0);
258
  --
259 70 zero_gravi
  signal pwm_o_int       : std_ulogic_vector(59 downto 0);
260 63 zero_gravi
  --
261
  signal cfs_in_i_int    : std_ulogic_vector(IO_CFS_IN_SIZE-1  downto 0);
262
  signal cfs_out_o_int   : std_ulogic_vector(IO_CFS_OUT_SIZE-1 downto 0);
263
  --
264
  signal neoled_o_int    : std_ulogic;
265
  --
266
  signal mtime_i_int     : std_ulogic_vector(63 downto 0);
267
  signal mtime_o_int     : std_ulogic_vector(63 downto 0);
268
  --
269 70 zero_gravi
  signal xirq_i_int      : std_ulogic_vector(31 downto 0);
270 63 zero_gravi
  --
271
  signal mtime_irq_i_int : std_ulogic;
272
  signal msw_irq_i_int   : std_ulogic;
273
  signal mext_irq_i_int  : std_ulogic;
274
 
275
begin
276
 
277
  -- The Core Of The Problem ----------------------------------------------------------------
278
  -- -------------------------------------------------------------------------------------------
279
  neorv32_top_inst: neorv32_top
280
  generic map (
281
    -- General --
282
    CLOCK_FREQUENCY              => CLOCK_FREQUENCY,    -- clock frequency of clk_i in Hz
283
    INT_BOOTLOADER_EN            => INT_BOOTLOADER_EN,  -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
284
    HW_THREAD_ID                 => HW_THREAD_ID,       -- hardware thread id (hartid) (32-bit)
285
    -- On-Chip Debugger (OCD) --
286
    ON_CHIP_DEBUGGER_EN          => ON_CHIP_DEBUGGER_EN,          -- implement on-chip debugger
287
    -- RISC-V CPU Extensions --
288
    CPU_EXTENSION_RISCV_A        => CPU_EXTENSION_RISCV_A,        -- implement atomic extension?
289 66 zero_gravi
    CPU_EXTENSION_RISCV_B        => CPU_EXTENSION_RISCV_B,        -- implement bit-manipulation extension?
290 63 zero_gravi
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,        -- implement compressed extension?
291
    CPU_EXTENSION_RISCV_E        => CPU_EXTENSION_RISCV_E,        -- implement embedded RF extension?
292
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,        -- implement muld/div extension?
293
    CPU_EXTENSION_RISCV_U        => CPU_EXTENSION_RISCV_U,        -- implement user mode extension?
294
    CPU_EXTENSION_RISCV_Zfinx    => CPU_EXTENSION_RISCV_Zfinx,    -- implement 32-bit floating-point extension (using INT reg!)
295
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
296 66 zero_gravi
    CPU_EXTENSION_RISCV_Zicntr   => CPU_EXTENSION_RISCV_Zicntr,   -- implement base counters?
297
    CPU_EXTENSION_RISCV_Zihpm    => CPU_EXTENSION_RISCV_Zihpm,    -- implement hardware performance monitors?
298 63 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
299
    -- Extension Options --
300
    FAST_MUL_EN                  => FAST_MUL_EN,        -- use DSPs for M extension's multiplier
301
    FAST_SHIFT_EN                => FAST_SHIFT_EN,      -- use barrel shifter for shift operations
302
    CPU_CNT_WIDTH                => CPU_CNT_WIDTH,      -- total width of CPU cycle and instret counters (0..64)
303
    -- Physical Memory Protection (PMP) --
304
    PMP_NUM_REGIONS              => PMP_NUM_REGIONS,    -- number of regions (0..64)
305
    PMP_MIN_GRANULARITY          => PMP_MIN_GRANULARITY, -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
306
    -- Hardware Performance Monitors (HPM) --
307
    HPM_NUM_CNTS                 => HPM_NUM_CNTS,       -- number of implemented HPM counters (0..29)
308
    HPM_CNT_WIDTH                => HPM_CNT_WIDTH,      -- total size of HPM counters (0..64)
309
    -- Internal Instruction memory --
310
    MEM_INT_IMEM_EN              => MEM_INT_IMEM_EN,    -- implement processor-internal instruction memory
311
    MEM_INT_IMEM_SIZE            => MEM_INT_IMEM_SIZE,  -- size of processor-internal instruction memory in bytes
312
    -- Internal Data memory --
313
    MEM_INT_DMEM_EN              => MEM_INT_DMEM_EN,    -- implement processor-internal data memory
314
    MEM_INT_DMEM_SIZE            => MEM_INT_DMEM_SIZE,  -- size of processor-internal data memory in bytes
315
    -- Internal Cache memory --
316
    ICACHE_EN                    => ICACHE_EN,          -- implement instruction cache
317
    ICACHE_NUM_BLOCKS            => ICACHE_NUM_BLOCKS,  -- i-cache: number of blocks (min 1), has to be a power of 2
318
    ICACHE_BLOCK_SIZE            => ICACHE_BLOCK_SIZE,  -- i-cache: block size in bytes (min 4), has to be a power of 2
319
    ICACHE_ASSOCIATIVITY         => ICACHE_ASSOCIATIVITY, -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
320
    -- External memory interface --
321
    MEM_EXT_EN                   => MEM_EXT_EN,         -- implement external memory bus interface?
322
    MEM_EXT_TIMEOUT              => MEM_EXT_TIMEOUT,    -- cycles after a pending bus access auto-terminates (0 = disabled)
323
    MEM_EXT_PIPE_MODE            => MEM_EXT_PIPE_MODE,  -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
324
    MEM_EXT_BIG_ENDIAN           => MEM_EXT_BIG_ENDIAN, -- byte order: true=big-endian, false=little-endian
325
    MEM_EXT_ASYNC_RX             => MEM_EXT_ASYNC_RX,   -- use register buffer for RX data when false
326
    -- Stream link interface --
327
    SLINK_NUM_TX                 => SLINK_NUM_TX,       -- number of TX links (0..8)
328
    SLINK_NUM_RX                 => SLINK_NUM_RX,       -- number of TX links (0..8)
329
    SLINK_TX_FIFO                => SLINK_TX_FIFO,      -- TX fifo depth, has to be a power of two
330
    SLINK_RX_FIFO                => SLINK_RX_FIFO,      -- RX fifo depth, has to be a power of two
331
    -- External Interrupts Controller (XIRQ) --
332
    XIRQ_NUM_CH                  => XIRQ_NUM_CH, -- number of external IRQ channels (0..32)
333
    XIRQ_TRIGGER_TYPE            => XIRQ_TRIGGER_TYPE_INT, -- trigger type: 0=level, 1=edge
334
    XIRQ_TRIGGER_POLARITY        => XIRQ_TRIGGER_POLARITY_INT, -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
335
    -- Processor peripherals --
336
    IO_GPIO_EN                   => IO_GPIO_EN,         -- implement general purpose input/output port unit (GPIO)?
337
    IO_MTIME_EN                  => IO_MTIME_EN,        -- implement machine system timer (MTIME)?
338
    IO_UART0_EN                  => IO_UART0_EN,        -- implement primary universal asynchronous receiver/transmitter (UART0)?
339 65 zero_gravi
    IO_UART0_RX_FIFO             => IO_UART0_RX_FIFO,   -- RX fifo depth, has to be a power of two, min 1
340
    IO_UART0_TX_FIFO             => IO_UART0_TX_FIFO,   -- TX fifo depth, has to be a power of two, min 1
341 63 zero_gravi
    IO_UART1_EN                  => IO_UART1_EN,        -- implement secondary universal asynchronous receiver/transmitter (UART1)?
342 65 zero_gravi
    IO_UART1_RX_FIFO             => IO_UART1_RX_FIFO,   -- RX fifo depth, has to be a power of two, min 1
343
    IO_UART1_TX_FIFO             => IO_UART1_TX_FIFO,   -- TX fifo depth, has to be a power of two, min 1
344 63 zero_gravi
    IO_SPI_EN                    => IO_SPI_EN,          -- implement serial peripheral interface (SPI)?
345
    IO_TWI_EN                    => IO_TWI_EN,          -- implement two-wire interface (TWI)?
346
    IO_PWM_NUM_CH                => IO_PWM_NUM_CH,      -- number of PWM channels to implement (0..60); 0 = disabled
347
    IO_WDT_EN                    => IO_WDT_EN,          -- implement watch dog timer (WDT)?
348
    IO_TRNG_EN                   => IO_TRNG_EN,         -- implement true random number generator (TRNG)?
349
    IO_CFS_EN                    => IO_CFS_EN,          -- implement custom functions subsystem (CFS)?
350
    IO_CFS_CONFIG                => IO_CFS_CONFIG_INT,  -- custom CFS configuration generic
351
    IO_CFS_IN_SIZE               => IO_CFS_IN_SIZE,     -- size of CFS input conduit in bits
352
    IO_CFS_OUT_SIZE              => IO_CFS_OUT_SIZE,    -- size of CFS output conduit in bits
353 67 zero_gravi
    IO_NEOLED_EN                 => IO_NEOLED_EN,       -- implement NeoPixel-compatible smart LED interface (NEOLED)?
354 70 zero_gravi
    IO_GPTMR_EN                  => IO_GPTMR_EN,        -- implement general purpose timer (GPTMR)?
355
    IO_XIP_EN                    => IO_XIP_EN           -- implement execute in place module (XIP)?
356 63 zero_gravi
  )
357
  port map (
358
    -- Global control --
359
    clk_i          => clk_i_int,       -- global clock, rising edge
360
    rstn_i         => rstn_i_int,      -- global reset, low-active, async
361
    -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) --
362
    jtag_trst_i    => jtag_trst_i_int, -- low-active TAP reset (optional)
363
    jtag_tck_i     => jtag_tck_i_int,  -- serial clock
364
    jtag_tdi_i     => jtag_tdi_i_int,  -- serial data input
365
    jtag_tdo_o     => jtag_tdo_o_int,  -- serial data output
366
    jtag_tms_i     => jtag_tms_i_int,  -- mode select
367
    -- Wishbone bus interface (available if MEM_EXT_EN = true) --
368
    wb_tag_o       => wb_tag_o_int,    -- tag
369
    wb_adr_o       => wb_adr_o_int,    -- address
370
    wb_dat_i       => wb_dat_i_int,    -- read data
371
    wb_dat_o       => wb_dat_o_int,    -- write data
372
    wb_we_o        => wb_we_o_int,     -- read/write
373
    wb_sel_o       => wb_sel_o_int,    -- byte enable
374
    wb_stb_o       => wb_stb_o_int,    -- strobe
375
    wb_cyc_o       => wb_cyc_o_int,    -- valid cycle
376
    wb_lock_o      => wb_lock_o_int,   -- exclusive access request
377
    wb_ack_i       => wb_ack_i_int,    -- transfer acknowledge
378
    wb_err_i       => wb_err_i_int,    -- transfer error
379
    -- Advanced memory control signals (available if MEM_EXT_EN = true) --
380
    fence_o        => fence_o_int,     -- indicates an executed FENCE operation
381
    fencei_o       => fencei_o_int,    -- indicates an executed FENCEI operation
382 70 zero_gravi
    -- XIP (execute in place via SPI) signals (available if IO_XIP_EN = true) --
383
    xip_csn_o      => xip_csn_o_int,   -- chip-select, low-active
384
    xip_clk_o      => xip_clk_o_int,   -- serial clock
385
    xip_sdi_i      => xip_sdi_i_int,   -- device data input
386
    xip_sdo_o      => xip_sdo_o_int,   -- controller data output
387 63 zero_gravi
    -- TX stream interfaces (available if SLINK_NUM_TX > 0) --
388
    slink_tx_dat_o => slink_tx_dat_o_int, -- output data
389
    slink_tx_val_o => slink_tx_val_o_int, -- valid output
390
    slink_tx_rdy_i => slink_tx_rdy_i_int, -- ready to send
391
    -- RX stream interfaces (available if SLINK_NUM_RX > 0) --
392
    slink_rx_dat_i => slink_rx_dat_i_int, -- input data
393
    slink_rx_val_i => slink_rx_val_i_int, -- valid input
394
    slink_rx_rdy_o => slink_rx_rdy_o_int, -- ready to receive
395
    -- GPIO (available if IO_GPIO_EN = true) --
396
    gpio_o         => gpio_o_int,      -- parallel output
397
    gpio_i         => gpio_i_int,      -- parallel input
398
    -- primary UART0 (available if IO_UART0_EN = true) --
399
    uart0_txd_o    => uart0_txd_o_int, -- UART0 send data
400
    uart0_rxd_i    => uart0_rxd_i_int, -- UART0 receive data
401
    uart0_rts_o    => uart0_rts_o_int, -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
402
    uart0_cts_i    => uart0_cts_i_int, -- hw flow control: UART0.TX allowed to transmit, low-active, optional
403
    -- secondary UART1 (available if IO_UART1_EN = true) --
404
    uart1_txd_o    => uart1_txd_o_int, -- UART1 send data
405
    uart1_rxd_i    => uart1_rxd_i_int, -- UART1 receive data
406
    uart1_rts_o    => uart1_rts_o_int, -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
407
    uart1_cts_i    => uart1_cts_i_int, -- hw flow control: UART1.TX allowed to transmit, low-active, optional
408
    -- SPI (available if IO_SPI_EN = true) --
409
    spi_sck_o      => spi_sck_o_int,   -- SPI serial clock
410
    spi_sdo_o      => spi_sdo_o_int,   -- controller data out, peripheral data in
411
    spi_sdi_i      => spi_sdi_i_int,   -- controller data in, peripheral data out
412
    spi_csn_o      => spi_csn_o_int,   -- SPI CS
413
    -- TWI (available if IO_TWI_EN = true) --
414
    twi_sda_io     => twi_sda_io,      -- twi serial data line
415
    twi_scl_io     => twi_scl_io,      -- twi serial clock line
416
    -- PWM (available if IO_PWM_NUM_CH > 0) --
417
    pwm_o          => pwm_o_int,       -- pwm channels
418
    -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) --
419
    cfs_in_i       => cfs_in_i_int,    -- custom inputs
420
    cfs_out_o      => cfs_out_o_int,   -- custom outputs
421
    -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
422
    neoled_o       => neoled_o_int,    -- async serial data line
423
    -- System time --
424
    mtime_i        => mtime_i_int,     -- current system time from ext. MTIME (if IO_MTIME_EN = false)
425
    mtime_o        => mtime_o_int,     -- current system time from int. MTIME (if IO_MTIME_EN = true)
426
    -- External platform interrupts (available if XIRQ_NUM_CH > 0) --
427
    xirq_i         => xirq_i_int,      -- IRQ channels
428
    -- CPU Interrupts --
429
    mtime_irq_i    => mtime_irq_i_int, -- machine timer interrupt, available if IO_MTIME_EN = false
430
    msw_irq_i      => msw_irq_i_int,   -- machine software interrupt
431
    mext_irq_i     => mext_irq_i_int   -- machine external interrupt
432
  );
433
 
434
  -- type conversion --
435
  clk_i_int       <= std_ulogic(clk_i);
436
  rstn_i_int      <= std_ulogic(rstn_i);
437
 
438
  jtag_trst_i_int <= std_ulogic(jtag_trst_i);
439
  jtag_tck_i_int  <= std_ulogic(jtag_tck_i);
440
  jtag_tdi_i_int  <= std_ulogic(jtag_tdi_i);
441
  jtag_tdo_o      <= std_logic(jtag_tdo_o_int);
442
  jtag_tms_i_int  <= std_ulogic(jtag_tms_i);
443
 
444
  wb_tag_o        <= std_logic_vector(wb_tag_o_int);
445
  wb_adr_o        <= std_logic_vector(wb_adr_o_int);
446
  wb_dat_i_int    <= std_ulogic_vector(wb_dat_i);
447
  wb_dat_o        <= std_logic_vector(wb_dat_o_int);
448
  wb_we_o         <= std_logic(wb_we_o_int);
449
  wb_sel_o        <= std_logic_vector(wb_sel_o_int);
450
  wb_stb_o        <= std_logic(wb_stb_o_int);
451
  wb_cyc_o        <= std_logic(wb_cyc_o_int);
452
  wb_lock_o       <= std_logic(wb_lock_o_int);
453
  wb_ack_i_int    <= std_ulogic(wb_ack_i);
454
  wb_err_i_int    <= std_ulogic(wb_err_i);
455
 
456
  fence_o         <= std_logic(fence_o_int);
457
  fencei_o        <= std_logic(fencei_o_int);
458
 
459 70 zero_gravi
  xip_csn_o       <= std_logic(xip_csn_o_int);
460
  xip_clk_o       <= std_logic(xip_clk_o_int);
461
  xip_sdi_i_int   <= std_ulogic(xip_sdi_i);
462
  xip_sdo_o       <= std_logic(xip_sdo_o_int);
463
 
464 63 zero_gravi
  slink_tx_val_o     <= std_logic_vector(slink_tx_val_o_int);
465
  slink_tx_rdy_i_int <= std_ulogic_vector(slink_tx_rdy_i);
466
  slink_rx_val_i_int <= std_ulogic_vector(slink_rx_val_i);
467
  slink_rx_rdy_o     <= std_logic_vector(slink_rx_rdy_o_int);
468
 
469
  slink_conv:
470
  for i in 0 to 7 generate
471
    slink_tx_dat_o(i)     <= std_logic_vector(slink_tx_dat_o_int(i));
472
    slink_rx_dat_i_int(i) <= std_ulogic_vector(slink_rx_dat_i(i));
473
  end generate;
474
 
475
  gpio_o          <= std_logic_vector(gpio_o_int);
476
  gpio_i_int      <= std_ulogic_vector(gpio_i);
477
 
478
  uart0_txd_o     <= std_logic(uart0_txd_o_int);
479
  uart0_rxd_i_int <= std_ulogic(uart0_rxd_i);
480 65 zero_gravi
  uart0_rts_o     <= std_logic(uart0_rts_o_int);
481
  uart0_cts_i_int <= std_ulogic(uart0_cts_i);
482 63 zero_gravi
  uart1_txd_o     <= std_logic(uart1_txd_o_int);
483
  uart1_rxd_i_int <= std_ulogic(uart1_rxd_i);
484 65 zero_gravi
  uart1_rts_o     <= std_logic(uart1_rts_o_int);
485
  uart1_cts_i_int <= std_ulogic(uart1_cts_i);
486 63 zero_gravi
 
487
  spi_sck_o       <= std_logic(spi_sck_o_int);
488
  spi_sdo_o       <= std_logic(spi_sdo_o_int);
489
  spi_sdi_i_int   <= std_ulogic(spi_sdi_i);
490
  spi_csn_o       <= std_logic_vector(spi_csn_o_int);
491
 
492
  pwm_o           <= std_logic_vector(pwm_o_int);
493
 
494
  cfs_in_i_int    <= std_ulogic_vector(cfs_in_i);
495
  cfs_out_o       <= std_logic_vector(cfs_out_o_int);
496
 
497
  neoled_o        <= std_logic(neoled_o_int);
498
 
499
  mtime_i_int     <= std_ulogic_vector(mtime_i);
500
  mtime_o         <= std_logic_vector(mtime_o_int);
501
 
502
  xirq_i_int      <= std_ulogic_vector(xirq_i);
503
 
504
  msw_irq_i_int   <= std_ulogic(msw_irq_i);
505
  mext_irq_i_int  <= std_ulogic(mext_irq_i);
506
 
507
 
508
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.