OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [system_integration/] [neorv32_SystemTop_AvalonMM.vhd] - Blame information for rev 70

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 64 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Processor Top Entity with AvalonMM Compatible Master Interface >>                #
3
-- # ********************************************************************************************* #
4
-- # (c) "AvalonMM", "NIOS-2", "Qsys", "MegaWizard"  and "Platform Designer"                       # 
5
-- # are trademarks of Intel                                                                       #
6
-- # ********************************************************************************************* #
7
-- # BSD 3-Clause License                                                                          #
8
-- #                                                                                               #
9 70 zero_gravi
-- # Copyright (c) 2022, Stephan Nolting. All rights reserved.                                     #
10 64 zero_gravi
-- #                                                                                               #
11
-- # Redistribution and use in source and binary forms, with or without modification, are          #
12
-- # permitted provided that the following conditions are met:                                     #
13
-- #                                                                                               #
14
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
15
-- #    conditions and the following disclaimer.                                                   #
16
-- #                                                                                               #
17
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
18
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
19
-- #    provided with the distribution.                                                            #
20
-- #                                                                                               #
21
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
22
-- #    endorse or promote products derived from this software without specific prior written      #
23
-- #    permission.                                                                                #
24
-- #                                                                                               #
25
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
26
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
27
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
28
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
29
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
30
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
31
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
32
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
33
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
34
-- # ********************************************************************************************* #
35
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
36
-- #################################################################################################
37
 
38
library ieee;
39
use ieee.std_logic_1164.all;
40
use ieee.numeric_std.all;
41
 
42
library neorv32;
43
use neorv32.neorv32_package.all;
44
 
45
entity neorv32_top_avalonmm is
46
  generic (
47
    -- General --
48
    CLOCK_FREQUENCY              : natural;           -- clock frequency of clk_i in Hz
49
    HW_THREAD_ID                 : natural := 0;      -- hardware thread id (32-bit)
50
    INT_BOOTLOADER_EN            : boolean := false;  -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
51
 
52
    -- On-Chip Debugger (OCD) --
53
    ON_CHIP_DEBUGGER_EN          : boolean := false;  -- implement on-chip debugger
54
 
55
    -- RISC-V CPU Extensions --
56
    CPU_EXTENSION_RISCV_A        : boolean := false;  -- implement atomic extension?
57 66 zero_gravi
    CPU_EXTENSION_RISCV_B        : boolean := false;  -- implement bit-manipulation extension?
58 64 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
59
    CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
60
    CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement mul/div extension?
61
    CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
62
    CPU_EXTENSION_RISCV_Zfinx    : boolean := false;  -- implement 32-bit floating-point extension (using INT regs!)
63
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
64 66 zero_gravi
    CPU_EXTENSION_RISCV_Zicntr   : boolean := true;   -- implement base counters?
65
    CPU_EXTENSION_RISCV_Zihpm    : boolean := false;  -- implement hardware performance monitors?
66 64 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei : boolean := false;  -- implement instruction stream sync.?
67
    CPU_EXTENSION_RISCV_Zmmul    : boolean := false;  -- implement multiply-only M sub-extension?
68
 
69
    -- Extension Options --
70
    FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
71
    FAST_SHIFT_EN                : boolean := false;  -- use barrel shifter for shift operations
72
    CPU_CNT_WIDTH                : natural := 64;     -- total width of CPU cycle and instret counters (0..64)
73
    CPU_IPB_ENTRIES              : natural := 2;      -- entries is instruction prefetch buffer, has to be a power of 2
74
 
75
    -- Physical Memory Protection (PMP) --
76
    PMP_NUM_REGIONS              : natural := 0;      -- number of regions (0..64)
77
    PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
78
 
79
    -- Hardware Performance Monitors (HPM) --
80
    HPM_NUM_CNTS                 : natural := 0;      -- number of implemented HPM counters (0..29)
81
    HPM_CNT_WIDTH                : natural := 40;     -- total size of HPM counters (0..64)
82
 
83
    -- Internal Instruction memory (IMEM) --
84
    MEM_INT_IMEM_EN              : boolean := false;  -- implement processor-internal instruction memory
85
    MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
86
 
87
    -- Internal Data memory (DMEM) --
88
    MEM_INT_DMEM_EN              : boolean := false;  -- implement processor-internal data memory
89
    MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
90
 
91
    -- Internal Cache memory (iCACHE) --
92
    ICACHE_EN                    : boolean := false;  -- implement instruction cache
93
    ICACHE_NUM_BLOCKS            : natural := 4;      -- i-cache: number of blocks (min 1), has to be a power of 2
94
    ICACHE_BLOCK_SIZE            : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
95
    ICACHE_ASSOCIATIVITY         : natural := 1;      -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
96
 
97
    -- Stream link interface (SLINK) --
98
    SLINK_NUM_TX                 : natural := 0;      -- number of TX links (0..8)
99
    SLINK_NUM_RX                 : natural := 0;      -- number of TX links (0..8)
100
    SLINK_TX_FIFO                : natural := 1;      -- TX fifo depth, has to be a power of two
101
    SLINK_RX_FIFO                : natural := 1;      -- RX fifo depth, has to be a power of two
102
 
103
    -- External Interrupts Controller (XIRQ) --
104
    XIRQ_NUM_CH                  : natural := 0;      -- number of external IRQ channels (0..32)
105
    XIRQ_TRIGGER_TYPE            : std_ulogic_vector(31 downto 0) := x"ffffffff"; -- trigger type: 0=level, 1=edge
106
    XIRQ_TRIGGER_POLARITY        : std_ulogic_vector(31 downto 0) := x"ffffffff"; -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
107
 
108
    -- Processor peripherals --
109
    IO_GPIO_EN                   : boolean := false;  -- implement general purpose input/output port unit (GPIO)?
110
    IO_MTIME_EN                  : boolean := false;  -- implement machine system timer (MTIME)?
111
    IO_UART0_EN                  : boolean := false;  -- implement primary universal asynchronous receiver/transmitter (UART0)?
112 65 zero_gravi
    IO_UART0_RX_FIFO             : natural := 1;      -- RX fifo depth, has to be a power of two, min 1
113
    IO_UART0_TX_FIFO             : natural := 1;      -- TX fifo depth, has to be a power of two, min 1
114 64 zero_gravi
    IO_UART1_EN                  : boolean := false;  -- implement secondary universal asynchronous receiver/transmitter (UART1)?
115 65 zero_gravi
    IO_UART1_RX_FIFO             : natural := 1;      -- RX fifo depth, has to be a power of two, min 1
116
    IO_UART1_TX_FIFO             : natural := 1;      -- TX fifo depth, has to be a power of two, min 1
117 64 zero_gravi
    IO_SPI_EN                    : boolean := false;  -- implement serial peripheral interface (SPI)?
118
    IO_TWI_EN                    : boolean := false;  -- implement two-wire interface (TWI)?
119
    IO_PWM_NUM_CH                : natural := 0;      -- number of PWM channels to implement (0..60); 0 = disabled
120
    IO_WDT_EN                    : boolean := false;  -- implement watch dog timer (WDT)?
121
    IO_TRNG_EN                   : boolean := false;  -- implement true random number generator (TRNG)?
122
    IO_CFS_EN                    : boolean := false;  -- implement custom functions subsystem (CFS)?
123
    IO_CFS_CONFIG                : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom CFS configuration generic
124
    IO_CFS_IN_SIZE               : positive := 32;    -- size of CFS input conduit in bits
125
    IO_CFS_OUT_SIZE              : positive := 32;    -- size of CFS output conduit in bits
126
    IO_NEOLED_EN                 : boolean := false;  -- implement NeoPixel-compatible smart LED interface (NEOLED)?
127 67 zero_gravi
    IO_NEOLED_TX_FIFO            : natural := 1;      -- NEOLED TX FIFO depth, 1..32k, has to be a power of two
128 70 zero_gravi
    IO_GPTMR_EN                  : boolean := false;  -- implement general purpose timer (GPTMR)?
129
    IO_XIP_EN                    : boolean := false   -- implement execute in place module (XIP)?
130 64 zero_gravi
  );
131
  port (
132
    -- Global control --
133
    clk_i          : in  std_ulogic; -- global clock, rising edge
134
    rstn_i         : in  std_ulogic; -- global reset, low-active, async
135
 
136
    -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) --
137
    jtag_trst_i    : in  std_ulogic := 'U'; -- low-active TAP reset (optional)
138
    jtag_tck_i     : in  std_ulogic := 'U'; -- serial clock
139
    jtag_tdi_i     : in  std_ulogic := 'U'; -- serial data input
140
    jtag_tdo_o     : out std_ulogic;        -- serial data output
141
    jtag_tms_i     : in  std_ulogic := 'U'; -- mode select
142
 
143
    -- AvalonMM interface
144
    read_o         : out std_logic;
145
    write_o        : out std_logic;
146 70 zero_gravi
    waitrequest_i  : in  std_logic := '0';
147 64 zero_gravi
    byteenable_o   : out std_logic_vector(3 downto 0);
148
    address_o      : out std_logic_vector(31 downto 0);
149
    writedata_o    : out std_logic_vector(31 downto 0);
150 70 zero_gravi
    readdata_i     : in  std_logic_vector(31 downto 0) := (others => '0');
151 64 zero_gravi
 
152
    -- Advanced memory control signals (available if MEM_EXT_EN = true) --
153
    fence_o        : out std_ulogic; -- indicates an executed FENCE operation
154
    fencei_o       : out std_ulogic; -- indicates an executed FENCEI operation
155
 
156 70 zero_gravi
    -- XIP (execute in place via SPI) signals (available if IO_XIP_EN = true) --
157
    xip_csn_o      : out std_ulogic; -- chip-select, low-active
158
    xip_clk_o      : out std_ulogic; -- serial clock
159
    xip_sdi_i      : in  std_ulogic := 'L'; -- device data input
160
    xip_sdo_o      : out std_ulogic; -- controller data output
161
 
162 64 zero_gravi
    -- TX stream interfaces (available if SLINK_NUM_TX > 0) --
163
    slink_tx_dat_o : out sdata_8x32_t; -- output data
164
    slink_tx_val_o : out std_ulogic_vector(7 downto 0); -- valid output
165
    slink_tx_rdy_i : in  std_ulogic_vector(7 downto 0) := (others => 'L'); -- ready to send
166
 
167
    -- RX stream interfaces (available if SLINK_NUM_RX > 0) --
168
    slink_rx_dat_i : in  sdata_8x32_t := (others => (others => 'U')); -- input data
169
    slink_rx_val_i : in  std_ulogic_vector(7 downto 0) := (others => 'L'); -- valid input
170
    slink_rx_rdy_o : out std_ulogic_vector(7 downto 0); -- ready to receive
171
 
172
    -- GPIO (available if IO_GPIO_EN = true) --
173
    gpio_o         : out std_ulogic_vector(63 downto 0); -- parallel output
174
    gpio_i         : in  std_ulogic_vector(63 downto 0) := (others => 'U'); -- parallel input
175
 
176
    -- primary UART0 (available if IO_UART0_EN = true) --
177
    uart0_txd_o    : out std_ulogic; -- UART0 send data
178
    uart0_rxd_i    : in  std_ulogic := 'U'; -- UART0 receive data
179
    uart0_rts_o    : out std_ulogic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
180
    uart0_cts_i    : in  std_ulogic := 'L'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional
181
 
182
    -- secondary UART1 (available if IO_UART1_EN = true) --
183
    uart1_txd_o    : out std_ulogic; -- UART1 send data
184
    uart1_rxd_i    : in  std_ulogic := 'U'; -- UART1 receive data
185
    uart1_rts_o    : out std_ulogic; -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
186
    uart1_cts_i    : in  std_ulogic := 'L'; -- hw flow control: UART1.TX allowed to transmit, low-active, optional
187
 
188
    -- SPI (available if IO_SPI_EN = true) --
189
    spi_sck_o      : out std_ulogic; -- SPI serial clock
190
    spi_sdo_o      : out std_ulogic; -- controller data out, peripheral data in
191
    spi_sdi_i      : in  std_ulogic := 'U'; -- controller data in, peripheral data out
192
    spi_csn_o      : out std_ulogic_vector(07 downto 0); -- chip-select
193
 
194
    -- TWI (available if IO_TWI_EN = true) --
195
    twi_sda_io     : inout std_logic := 'U'; -- twi serial data line
196
    twi_scl_io     : inout std_logic := 'U'; -- twi serial clock line
197
 
198
    -- PWM (available if IO_PWM_NUM_CH > 0) --
199 70 zero_gravi
    pwm_o          : out std_ulogic_vector(59 downto 0); -- pwm channels
200 64 zero_gravi
 
201
    -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) --
202
    cfs_in_i       : in  std_ulogic_vector(IO_CFS_IN_SIZE-1  downto 0) := (others => 'U'); -- custom CFS inputs conduit
203
    cfs_out_o      : out std_ulogic_vector(IO_CFS_OUT_SIZE-1 downto 0); -- custom CFS outputs conduit
204
 
205
    -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
206
    neoled_o       : out std_ulogic; -- async serial data line
207
 
208
    -- System time --
209
    mtime_i        : in  std_ulogic_vector(63 downto 0) := (others => 'U'); -- current system time from ext. MTIME (if IO_MTIME_EN = false)
210
    mtime_o        : out std_ulogic_vector(63 downto 0); -- current system time from int. MTIME (if IO_MTIME_EN = true)
211
 
212
    -- External platform interrupts (available if XIRQ_NUM_CH > 0) --
213 70 zero_gravi
    xirq_i         : in  std_ulogic_vector(31 downto 0) := (others => 'L'); -- IRQ channels
214 64 zero_gravi
 
215
    -- CPU interrupts --
216
    mtime_irq_i    : in  std_ulogic := 'L'; -- machine timer interrupt, available if IO_MTIME_EN = false
217
    msw_irq_i      : in  std_ulogic := 'L'; -- machine software interrupt
218
    mext_irq_i     : in  std_ulogic := 'L'  -- machine external interrupt
219
  );
220
end neorv32_top_avalonmm;
221
 
222
architecture neorv32_top_avalonmm_rtl of neorv32_top_avalonmm is
223
 
224 65 zero_gravi
  -- Wishbone bus interface (available if MEM_EXT_EN = true) --
225
  signal wb_tag_o  : std_ulogic_vector(02 downto 0); -- request tag
226
  signal wb_adr_o  : std_ulogic_vector(31 downto 0); -- address
227
  signal wb_dat_i  : std_ulogic_vector(31 downto 0) := (others => 'U'); -- read data
228
  signal wb_dat_o  : std_ulogic_vector(31 downto 0); -- write data
229
  signal wb_we_o   : std_ulogic; -- read/write
230
  signal wb_sel_o  : std_ulogic_vector(03 downto 0); -- byte enable
231
  signal wb_stb_o  : std_ulogic; -- strobe
232
  signal wb_cyc_o  : std_ulogic; -- valid cycle
233
  signal wb_lock_o : std_ulogic; -- exclusive access request
234
  signal wb_ack_i  : std_ulogic := 'L'; -- transfer acknowledge
235
  signal wb_err_i  : std_ulogic := 'L'; -- transfer error
236 64 zero_gravi
 
237
begin
238
 
239
  neorv32_top_map : neorv32_top
240
  generic map (
241
    -- General --
242
    CLOCK_FREQUENCY => CLOCK_FREQUENCY,
243
    HW_THREAD_ID => HW_THREAD_ID,
244
    INT_BOOTLOADER_EN => INT_BOOTLOADER_EN,
245
 
246
    -- On-Chip Debugger (OCD) --
247
    ON_CHIP_DEBUGGER_EN => ON_CHIP_DEBUGGER_EN,
248
 
249
    -- RISC-V CPU Extensions --
250
    CPU_EXTENSION_RISCV_A => CPU_EXTENSION_RISCV_A,
251 66 zero_gravi
    CPU_EXTENSION_RISCV_B => CPU_EXTENSION_RISCV_B,
252 64 zero_gravi
    CPU_EXTENSION_RISCV_C => CPU_EXTENSION_RISCV_C,
253
    CPU_EXTENSION_RISCV_E => CPU_EXTENSION_RISCV_E,
254
    CPU_EXTENSION_RISCV_M => CPU_EXTENSION_RISCV_M,
255
    CPU_EXTENSION_RISCV_U => CPU_EXTENSION_RISCV_U,
256
    CPU_EXTENSION_RISCV_Zfinx => CPU_EXTENSION_RISCV_Zfinx,
257
    CPU_EXTENSION_RISCV_Zicsr => CPU_EXTENSION_RISCV_Zicsr,
258 66 zero_gravi
    CPU_EXTENSION_RISCV_Zicntr => CPU_EXTENSION_RISCV_Zicntr,
259
    CPU_EXTENSION_RISCV_Zihpm => CPU_EXTENSION_RISCV_Zihpm,
260 64 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei,
261
    CPU_EXTENSION_RISCV_Zmmul => CPU_EXTENSION_RISCV_Zmmul,
262
 
263
    -- Extension Options --
264
    FAST_MUL_EN => FAST_MUL_EN,
265
    FAST_SHIFT_EN => FAST_SHIFT_EN,
266
    CPU_CNT_WIDTH => CPU_CNT_WIDTH,
267
    CPU_IPB_ENTRIES => CPU_IPB_ENTRIES,
268
 
269
    -- Physical Memory Protection (PMP) --
270
    PMP_NUM_REGIONS => PMP_NUM_REGIONS,
271
    PMP_MIN_GRANULARITY => PMP_MIN_GRANULARITY,
272
 
273
    -- Hardware Performance Monitors (HPM) --
274
    HPM_NUM_CNTS => HPM_NUM_CNTS,
275
    HPM_CNT_WIDTH => HPM_CNT_WIDTH,
276
 
277
    -- Internal Instruction memory (IMEM) --
278
    MEM_INT_IMEM_EN => MEM_INT_IMEM_EN,
279
    MEM_INT_IMEM_SIZE => MEM_INT_IMEM_SIZE,
280
 
281
    -- Internal Data memory (DMEM) --
282
    MEM_INT_DMEM_EN => MEM_INT_IMEM_EN,
283
    MEM_INT_DMEM_SIZE => MEM_INT_DMEM_SIZE,
284
 
285
    -- Internal Cache memory (iCACHE) --
286
    ICACHE_EN => ICACHE_EN,
287
    ICACHE_NUM_BLOCKS => ICACHE_NUM_BLOCKS,
288
    ICACHE_BLOCK_SIZE => ICACHE_BLOCK_SIZE,
289
    ICACHE_ASSOCIATIVITY => ICACHE_ASSOCIATIVITY,
290
 
291
    -- External memory interface (WISHBONE) --
292
    MEM_EXT_EN => true,
293
    MEM_EXT_TIMEOUT => 0,
294
    MEM_EXT_PIPE_MODE => false,
295
    MEM_EXT_BIG_ENDIAN => false,
296
    MEM_EXT_ASYNC_RX => false,
297
 
298
    -- Stream link interface (SLINK) --
299
    SLINK_NUM_TX => SLINK_NUM_TX,
300
    SLINK_NUM_RX => SLINK_NUM_RX,
301
    SLINK_TX_FIFO => SLINK_TX_FIFO,
302
    SLINK_RX_FIFO => SLINK_RX_FIFO,
303
 
304
    -- External Interrupts Controller (XIRQ) --
305
    XIRQ_NUM_CH => XIRQ_NUM_CH,
306
    XIRQ_TRIGGER_TYPE => XIRQ_TRIGGER_TYPE,
307
    XIRQ_TRIGGER_POLARITY => XIRQ_TRIGGER_POLARITY,
308
 
309
    -- Processor peripherals --
310
    IO_GPIO_EN => IO_GPIO_EN,
311
    IO_MTIME_EN => IO_MTIME_EN,
312
    IO_UART0_EN => IO_UART0_EN,
313 65 zero_gravi
    IO_UART0_RX_FIFO => IO_UART0_RX_FIFO,
314
    IO_UART0_TX_FIFO => IO_UART0_TX_FIFO,
315 64 zero_gravi
    IO_UART1_EN => IO_UART1_EN,
316 65 zero_gravi
    IO_UART1_RX_FIFO => IO_UART1_RX_FIFO,
317
    IO_UART1_TX_FIFO => IO_UART1_TX_FIFO,
318 64 zero_gravi
    IO_SPI_EN => IO_SPI_EN,
319
    IO_TWI_EN => IO_TWI_EN,
320
    IO_PWM_NUM_CH => IO_PWM_NUM_CH,
321
    IO_WDT_EN => IO_WDT_EN,
322
    IO_TRNG_EN => IO_TRNG_EN,
323
    IO_CFS_EN => IO_CFS_EN,
324
    IO_CFS_CONFIG => IO_CFS_CONFIG,
325
    IO_CFS_IN_SIZE => IO_CFS_IN_SIZE,
326
    IO_CFS_OUT_SIZE => IO_CFS_OUT_SIZE,
327
    IO_NEOLED_EN => IO_NEOLED_EN,
328 67 zero_gravi
    IO_NEOLED_TX_FIFO => IO_NEOLED_TX_FIFO,
329 70 zero_gravi
    IO_GPTMR_EN => IO_GPTMR_EN,
330
    IO_XIP_EN => IO_XIP_EN
331 67 zero_gravi
    )
332 64 zero_gravi
  port map (
333
    -- Global control --
334
    clk_i => clk_i,
335
    rstn_i => rstn_i,
336
 
337
    -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) --
338
    jtag_trst_i => jtag_trst_i,
339
    jtag_tck_i => jtag_tck_i,
340
    jtag_tdi_i => jtag_tdi_i,
341
    jtag_tdo_o => jtag_tdo_o,
342
    jtag_tms_i => jtag_tms_i,
343
 
344
    -- Wishbone bus interface (available if MEM_EXT_EN = true) --
345
    wb_tag_o => wb_tag_o,
346
    wb_adr_o => wb_adr_o,
347
    wb_dat_i => wb_dat_i,
348
    wb_dat_o => wb_dat_o,
349
    wb_we_o => wb_we_o,
350
    wb_sel_o => wb_sel_o,
351
    wb_stb_o => wb_stb_o,
352
    wb_cyc_o => wb_cyc_o,
353
    wb_lock_o => wb_lock_o,
354
    wb_ack_i => wb_ack_i,
355
    wb_err_i => wb_err_i,
356
 
357
    -- Advanced memory control signals (available if MEM_EXT_EN = true) --
358
    fence_o => fence_o,
359
    fencei_o => fencei_o,
360
 
361 70 zero_gravi
    -- XIP (execute in place via SPI) signals (available if IO_XIP_EN = true) --
362
    xip_csn_o => xip_csn_o,
363
    xip_clk_o => xip_clk_o,
364
    xip_sdi_i => xip_sdi_i,
365
    xip_sdo_o => xip_sdo_o,
366
 
367 64 zero_gravi
    -- TX stream interfaces (available if SLINK_NUM_TX > 0) --
368
    slink_tx_dat_o => slink_tx_dat_o,
369
    slink_tx_val_o => slink_tx_val_o,
370
    slink_tx_rdy_i => slink_tx_rdy_i,
371
 
372
    -- RX stream interfaces (available if SLINK_NUM_RX > 0) --
373
    slink_rx_dat_i => slink_rx_dat_i,
374
    slink_rx_val_i => slink_rx_val_i,
375
    slink_rx_rdy_o => slink_rx_rdy_o,
376
 
377
    -- GPIO (available if IO_GPIO_EN = true) --
378
    gpio_o => gpio_o,
379
    gpio_i => gpio_i,
380
 
381
    -- primary UART0 (available if IO_UART0_EN = true) --
382
    uart0_txd_o => uart0_txd_o,
383
    uart0_rxd_i => uart0_rxd_i,
384
    uart0_rts_o => uart0_rts_o,
385
    uart0_cts_i => uart0_cts_i,
386
 
387
    -- secondary UART1 (available if IO_UART1_EN = true) --
388
    uart1_txd_o => uart1_txd_o,
389
    uart1_rxd_i => uart1_rxd_i,
390
    uart1_rts_o => uart1_rts_o,
391
    uart1_cts_i => uart1_cts_i,
392
 
393
    -- SPI (available if IO_SPI_EN = true) --
394
    spi_sck_o => spi_sck_o,
395
    spi_sdo_o => spi_sdo_o,
396
    spi_sdi_i => spi_sdi_i,
397
    spi_csn_o => spi_csn_o,
398
 
399
    -- TWI (available if IO_TWI_EN = true) --
400
    twi_sda_io => twi_sda_io,
401
    twi_scl_io => twi_scl_io,
402
 
403
    -- PWM (available if IO_PWM_NUM_CH > 0) --
404
    pwm_o => pwm_o,
405
 
406
    -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) --
407
    cfs_in_i => cfs_in_i,
408
    cfs_out_o => cfs_out_o,
409
 
410
    -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
411
    neoled_o => neoled_o,
412
 
413
    -- System time --
414
    mtime_i => mtime_i,
415
    mtime_o => mtime_o,
416
 
417
    -- External platform interrupts (available if XIRQ_NUM_CH > 0) --
418
    xirq_i => xirq_i,
419
 
420
    -- CPU interrupts --
421
    mtime_irq_i => mtime_irq_i,
422
    msw_irq_i => msw_irq_i,
423 65 zero_gravi
    mext_irq_i => mext_irq_i
424
  );
425 64 zero_gravi
 
426 65 zero_gravi
  -- Wishbone to AvalonMM bridge
427 64 zero_gravi
  read_o <= '1' when (wb_stb_o = '1' and wb_we_o = '0') else '0';
428
  write_o <= '1' when (wb_stb_o = '1' and wb_we_o = '1') else '0';
429
  address_o <= std_logic_vector(wb_adr_o);
430
  writedata_o <= std_logic_vector(wb_dat_o);
431
  byteenable_o <= std_logic_vector(wb_sel_o);
432
 
433
  wb_dat_i <= std_ulogic_vector(readdata_i);
434
  wb_ack_i <= not(waitrequest_i);
435
  wb_err_i <= '0';
436
 
437
end neorv32_top_avalonmm_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.