OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [system_integration/] [neorv32_SystemTop_axi4lite.vhd] - Blame information for rev 67

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 63 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Processor Top Entity with AXI4-Lite Compatible Master Interface >>               #
3
-- # ********************************************************************************************* #
4
-- # (c) "AXI", "AXI4" and "AXI4-Lite" are trademarks of Arm Holdings plc.                         #
5
-- # Note: External MTIME is not supported.                                                        #
6
-- # ********************************************************************************************* #
7
-- # BSD 3-Clause License                                                                          #
8
-- #                                                                                               #
9
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
10
-- #                                                                                               #
11
-- # Redistribution and use in source and binary forms, with or without modification, are          #
12
-- # permitted provided that the following conditions are met:                                     #
13
-- #                                                                                               #
14
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
15
-- #    conditions and the following disclaimer.                                                   #
16
-- #                                                                                               #
17
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
18
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
19
-- #    provided with the distribution.                                                            #
20
-- #                                                                                               #
21
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
22
-- #    endorse or promote products derived from this software without specific prior written      #
23
-- #    permission.                                                                                #
24
-- #                                                                                               #
25
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
26
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
27
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
28
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
29
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
30
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
31
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
32
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
33
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
34
-- # ********************************************************************************************* #
35
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
36
-- #################################################################################################
37
 
38
library ieee;
39
use ieee.std_logic_1164.all;
40
use ieee.numeric_std.all;
41
 
42
library neorv32;
43
use neorv32.neorv32_package.all;
44
 
45
entity neorv32_SystemTop_axi4lite is
46
  generic (
47
    -- ------------------------------------------------------------
48
    -- Configuration Generics --
49
    -- ------------------------------------------------------------
50
    -- General --
51
    CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
52
    INT_BOOTLOADER_EN            : boolean := true;   -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
53
    HW_THREAD_ID                 : natural := 0;      -- hardware thread id (32-bit)
54
    -- On-Chip Debugger (OCD) --
55
    ON_CHIP_DEBUGGER_EN          : boolean := false;  -- implement on-chip debugger
56
    -- RISC-V CPU Extensions --
57
    CPU_EXTENSION_RISCV_A        : boolean := false;  -- implement atomic extension?
58 66 zero_gravi
    CPU_EXTENSION_RISCV_B        : boolean := false;  -- implement bit-manipulation extension?
59 63 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
60
    CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
61
    CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement muld/div extension?
62
    CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
63
    CPU_EXTENSION_RISCV_Zfinx    : boolean := false;  -- implement 32-bit floating-point extension (using INT reg!)
64
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
65 66 zero_gravi
    CPU_EXTENSION_RISCV_Zicntr   : boolean := true;   -- implement base counters?
66
    CPU_EXTENSION_RISCV_Zihpm    : boolean := false;  -- implement hardware performance monitors?
67 63 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei : boolean := false;  -- implement instruction stream sync.?
68
    -- Extension Options --
69
    FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
70
    FAST_SHIFT_EN                : boolean := false;  -- use barrel shifter for shift operations
71
    CPU_CNT_WIDTH                : natural := 64;     -- total width of CPU cycle and instret counters (0..64)
72
    -- Physical Memory Protection (PMP) --
73
    PMP_NUM_REGIONS              : natural := 0;      -- number of regions (0..64)
74
    PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
75
    -- Hardware Performance Monitors (HPM) --
76
    HPM_NUM_CNTS                 : natural := 0;      -- number of implemented HPM counters (0..29)
77
    HPM_CNT_WIDTH                : natural := 40;     -- total size of HPM counters (0..64)
78
    -- Internal Instruction memory --
79
    MEM_INT_IMEM_EN              : boolean := true;   -- implement processor-internal instruction memory
80
    MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
81
    -- Internal Data memory --
82
    MEM_INT_DMEM_EN              : boolean := true;   -- implement processor-internal data memory
83
    MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
84
    -- Internal Cache memory --
85
    ICACHE_EN                    : boolean := false;  -- implement instruction cache
86
    ICACHE_NUM_BLOCKS            : natural := 4;      -- i-cache: number of blocks (min 1), has to be a power of 2
87
    ICACHE_BLOCK_SIZE            : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
88
    ICACHE_ASSOCIATIVITY         : natural := 1;      -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
89
    -- External Interrupts Controller (XIRQ) --
90
    XIRQ_NUM_CH                  : natural := 0;      -- number of external IRQ channels (0..32)
91
    XIRQ_TRIGGER_TYPE            : std_logic_vector(31 downto 0) := x"FFFFFFFF"; -- trigger type: 0=level, 1=edge
92
    XIRQ_TRIGGER_POLARITY        : std_logic_vector(31 downto 0) := x"FFFFFFFF"; -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
93
    -- Processor peripherals --
94
    IO_GPIO_EN                   : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
95
    IO_MTIME_EN                  : boolean := true;   -- implement machine system timer (MTIME)?
96
    IO_UART0_EN                  : boolean := true;   -- implement primary universal asynchronous receiver/transmitter (UART0)?
97 65 zero_gravi
    IO_UART0_RX_FIFO             : natural := 1;      -- RX fifo depth, has to be a power of two, min 1
98
    IO_UART0_TX_FIFO             : natural := 1;      -- TX fifo depth, has to be a power of two, min 1
99 63 zero_gravi
    IO_UART1_EN                  : boolean := true;   -- implement secondary universal asynchronous receiver/transmitter (UART1)?
100 65 zero_gravi
    IO_UART1_RX_FIFO             : natural := 1;      -- RX fifo depth, has to be a power of two, min 1
101
    IO_UART1_TX_FIFO             : natural := 1;      -- TX fifo depth, has to be a power of two, min 1
102 63 zero_gravi
    IO_SPI_EN                    : boolean := true;   -- implement serial peripheral interface (SPI)?
103
    IO_TWI_EN                    : boolean := true;   -- implement two-wire interface (TWI)?
104
    IO_PWM_NUM_CH                : natural := 4;      -- number of PWM channels to implement (0..60); 0 = disabled
105
    IO_WDT_EN                    : boolean := true;   -- implement watch dog timer (WDT)?
106
    IO_TRNG_EN                   : boolean := false;  -- implement true random number generator (TRNG)?
107
    IO_CFS_EN                    : boolean := false;  -- implement custom functions subsystem (CFS)?
108
    IO_CFS_CONFIG                : std_logic_vector(31 downto 0) := x"00000000"; -- custom CFS configuration generic
109
    IO_CFS_IN_SIZE               : positive := 32;    -- size of CFS input conduit in bits
110
    IO_CFS_OUT_SIZE              : positive := 32;    -- size of CFS output conduit in bits
111 65 zero_gravi
    IO_NEOLED_EN                 : boolean := true;   -- implement NeoPixel-compatible smart LED interface (NEOLED)?
112 67 zero_gravi
    IO_NEOLED_TX_FIFO            : natural := 1;      -- NEOLED TX FIFO depth, 1..32k, has to be a power of two
113
    IO_GPTMR_EN                  : boolean := false   -- implement general purpose timer (GPTMR)?
114 63 zero_gravi
  );
115
  port (
116
    -- ------------------------------------------------------------
117
    -- AXI4-Lite-Compatible Master Interface --
118
    -- ------------------------------------------------------------
119
    -- Clock and Reset --
120
    m_axi_aclk    : in  std_logic;
121
    m_axi_aresetn : in  std_logic;
122
    -- Write Address Channel --
123
    m_axi_awaddr  : out std_logic_vector(31 downto 0);
124
    m_axi_awprot  : out std_logic_vector(2 downto 0);
125
    m_axi_awvalid : out std_logic;
126
    m_axi_awready : in  std_logic;
127
    -- Write Data Channel --
128
    m_axi_wdata   : out std_logic_vector(31 downto 0);
129
    m_axi_wstrb   : out std_logic_vector(3 downto 0);
130
    m_axi_wvalid  : out std_logic;
131
    m_axi_wready  : in  std_logic;
132
    -- Read Address Channel --
133
    m_axi_araddr  : out std_logic_vector(31 downto 0);
134
    m_axi_arprot  : out std_logic_vector(2 downto 0);
135
    m_axi_arvalid : out std_logic;
136
    m_axi_arready : in  std_logic;
137
    -- Read Data Channel --
138
    m_axi_rdata   : in  std_logic_vector(31 downto 0);
139
    m_axi_rresp   : in  std_logic_vector(1 downto 0);
140
    m_axi_rvalid  : in  std_logic;
141
    m_axi_rready  : out std_logic;
142
    -- Write Response Channel --
143
    m_axi_bresp   : in  std_logic_vector(1 downto 0);
144
    m_axi_bvalid  : in  std_logic;
145
    m_axi_bready  : out std_logic;
146
    -- ------------------------------------------------------------
147
    -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) --
148
    -- ------------------------------------------------------------
149
    jtag_trst_i   : in  std_logic := '0'; -- low-active TAP reset (optional)
150
    jtag_tck_i    : in  std_logic := '0'; -- serial clock
151
    jtag_tdi_i    : in  std_logic := '0'; -- serial data input
152
    jtag_tdo_o    : out std_logic;        -- serial data output
153
    jtag_tms_i    : in  std_logic := '0'; -- mode select
154
    -- ------------------------------------------------------------
155
    -- Processor IO --
156
    -- ------------------------------------------------------------
157
    -- GPIO (available if IO_GPIO_EN = true) --
158
    gpio_o        : out std_logic_vector(63 downto 0); -- parallel output
159
    gpio_i        : in  std_logic_vector(63 downto 0) := (others => '0'); -- parallel input
160
    -- primary UART0 (available if IO_UART0_EN = true) --
161
    uart0_txd_o   : out std_logic; -- UART0 send data
162
    uart0_rxd_i   : in  std_logic := '0'; -- UART0 receive data
163
    uart0_rts_o   : out std_logic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
164
    uart0_cts_i   : in  std_logic := '0'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional
165
    -- secondary UART1 (available if IO_UART1_EN = true) --
166
    uart1_txd_o   : out std_logic; -- UART1 send data
167
    uart1_rxd_i   : in  std_logic := '0'; -- UART1 receive data
168
    uart1_rts_o   : out std_logic; -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
169
    uart1_cts_i   : in  std_logic := '0'; -- hw flow control: UART1.TX allowed to transmit, low-active, optional
170
    -- SPI (available if IO_SPI_EN = true) --
171
    spi_sck_o     : out std_logic; -- SPI serial clock
172
    spi_sdo_o     : out std_logic; -- controller data out, peripheral data in
173
    spi_sdi_i     : in  std_logic := '0'; -- controller data in, peripheral data out
174
    spi_csn_o     : out std_logic_vector(07 downto 0); -- SPI CS
175
    -- TWI (available if IO_TWI_EN = true) --
176
    twi_sda_io    : inout std_logic; -- twi serial data line
177
    twi_scl_io    : inout std_logic; -- twi serial clock line
178
    -- PWM (available if IO_PWM_NUM_CH > 0) --
179
    pwm_o         : out std_logic_vector(IO_PWM_NUM_CH-1 downto 0);  -- pwm channels
180
    -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) --
181
    cfs_in_i      : in  std_logic_vector(IO_CFS_IN_SIZE-1  downto 0); -- custom inputs
182
    cfs_out_o     : out std_logic_vector(IO_CFS_OUT_SIZE-1 downto 0); -- custom outputs
183
    -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
184
    neoled_o      : out std_logic; -- async serial data line
185
    -- External platform interrupts (available if XIRQ_NUM_CH > 0) --
186
    xirq_i        : in  std_logic_vector(XIRQ_NUM_CH-1 downto 0) := (others => '0'); -- IRQ channels
187
    -- CPU Interrupts --
188
    msw_irq_i     : in  std_logic := '0'; -- machine software interrupt
189
    mext_irq_i    : in  std_logic := '0'  -- machine external interrupt
190
  );
191
end entity;
192
 
193
architecture neorv32_SystemTop_axi4lite_rtl of neorv32_SystemTop_axi4lite is
194
 
195
  -- type conversion --
196
  constant IO_CFS_CONFIG_INT         : std_ulogic_vector(31 downto 0) := std_ulogic_vector(IO_CFS_CONFIG);
197
  constant XIRQ_TRIGGER_TYPE_INT     : std_ulogic_vector(31 downto 0) := std_ulogic_vector(XIRQ_TRIGGER_TYPE);
198
  constant XIRQ_TRIGGER_POLARITY_INT : std_ulogic_vector(31 downto 0) := std_ulogic_vector(XIRQ_TRIGGER_POLARITY);
199
  --
200
  signal clk_i_int       : std_ulogic;
201
  signal rstn_i_int      : std_ulogic;
202
  --
203
  signal jtag_trst_i_int :std_ulogic;
204
  signal jtag_tck_i_int  :std_ulogic;
205
  signal jtag_tdi_i_int  :std_ulogic;
206
  signal jtag_tdo_o_int  :std_ulogic;
207
  signal jtag_tms_i_int  :std_ulogic;
208
  --
209
  signal gpio_o_int      : std_ulogic_vector(63 downto 0);
210
  signal gpio_i_int      : std_ulogic_vector(63 downto 0);
211
  --
212
  signal uart0_txd_o_int : std_ulogic;
213
  signal uart0_rxd_i_int : std_ulogic;
214
  signal uart0_rts_o_int : std_ulogic;
215
  signal uart0_cts_i_int : std_ulogic;
216
  --
217
  signal uart1_txd_o_int : std_ulogic;
218
  signal uart1_rxd_i_int : std_ulogic;
219
  signal uart1_rts_o_int : std_ulogic;
220
  signal uart1_cts_i_int : std_ulogic;
221
  --
222
  signal spi_sck_o_int   : std_ulogic;
223
  signal spi_sdo_o_int   : std_ulogic;
224
  signal spi_sdi_i_int   : std_ulogic;
225
  signal spi_csn_o_int   : std_ulogic_vector(07 downto 0);
226
  --
227
  signal pwm_o_int       : std_ulogic_vector(IO_PWM_NUM_CH-1 downto 0);
228
  --
229
  signal cfs_in_i_int    : std_ulogic_vector(IO_CFS_IN_SIZE-1  downto 0);
230
  signal cfs_out_o_int   : std_ulogic_vector(IO_CFS_OUT_SIZE-1 downto 0);
231
  --
232
  signal neoled_o_int    : std_ulogic;
233
  --
234
  signal xirq_i_int      : std_ulogic_vector(XIRQ_NUM_CH-1 downto 0);
235
  --
236
  signal msw_irq_i_int   : std_ulogic;
237
  signal mext_irq_i_int  : std_ulogic;
238
 
239
  -- internal wishbone bus --
240
  type wb_bus_t is record
241
    adr  : std_ulogic_vector(31 downto 0); -- address
242
    di   : std_ulogic_vector(31 downto 0); -- processor input data
243
    do   : std_ulogic_vector(31 downto 0); -- processor output data
244
    we   : std_ulogic; -- write enable
245
    sel  : std_ulogic_vector(03 downto 0); -- byte enable
246
    stb  : std_ulogic; -- strobe
247
    cyc  : std_ulogic; -- valid cycle
248
    ack  : std_ulogic; -- transfer acknowledge
249
    err  : std_ulogic; -- transfer error
250
    tag  : std_ulogic_vector(02 downto 0); -- tag
251
    lock : std_ulogic; -- exclusive access request
252
  end record;
253
  signal wb_core : wb_bus_t;
254
 
255
  -- AXI bridge control --
256
  type ctrl_t is record
257
    radr_received : std_ulogic;
258
    wadr_received : std_ulogic;
259
    wdat_received : std_ulogic;
260
  end record;
261
  signal ctrl : ctrl_t;
262
 
263
  signal ack_read, ack_write : std_ulogic; -- normal transfer termination
264
  signal err_read, err_write : std_ulogic; -- error transfer termination
265
 
266
begin
267
 
268
  -- Sanity Checks --------------------------------------------------------------------------
269
  -- -------------------------------------------------------------------------------------------
270
  assert not (CPU_EXTENSION_RISCV_A = true) report "NEORV32 PROCESSOR CONFIG WARNING: AXI4-Lite provides NO support for atomic memory operations. LR/SC access via AXI will raise a bus exception." severity warning;
271
 
272
 
273
  -- The Core Of The Problem ----------------------------------------------------------------
274
  -- -------------------------------------------------------------------------------------------
275
  neorv32_top_inst: neorv32_top
276
  generic map (
277
    -- General --
278
    CLOCK_FREQUENCY              => CLOCK_FREQUENCY,    -- clock frequency of clk_i in Hz
279
    INT_BOOTLOADER_EN            => INT_BOOTLOADER_EN,  -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
280
    HW_THREAD_ID                 => HW_THREAD_ID,       -- hardware thread id (hartid)
281
    -- On-Chip Debugger (OCD) --
282
    ON_CHIP_DEBUGGER_EN          => ON_CHIP_DEBUGGER_EN,          -- implement on-chip debugger
283
    -- RISC-V CPU Extensions --
284
    CPU_EXTENSION_RISCV_A        => CPU_EXTENSION_RISCV_A,        -- implement atomic extension?
285 66 zero_gravi
    CPU_EXTENSION_RISCV_B        => CPU_EXTENSION_RISCV_B,        -- implement bit-manipulation extension?
286 63 zero_gravi
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,        -- implement compressed extension?
287
    CPU_EXTENSION_RISCV_E        => CPU_EXTENSION_RISCV_E,        -- implement embedded RF extension?
288
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,        -- implement muld/div extension?
289
    CPU_EXTENSION_RISCV_U        => CPU_EXTENSION_RISCV_U,        -- implement user mode extension?
290
    CPU_EXTENSION_RISCV_Zfinx    => CPU_EXTENSION_RISCV_Zfinx,    -- implement 32-bit floating-point extension (using INT reg!)
291
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
292 66 zero_gravi
    CPU_EXTENSION_RISCV_Zicntr   => CPU_EXTENSION_RISCV_Zicntr,   -- implement base counters?
293
    CPU_EXTENSION_RISCV_Zihpm    => CPU_EXTENSION_RISCV_Zihpm,    -- implement hardware performance monitors?
294 63 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
295
    -- Extension Options --
296
    FAST_MUL_EN                  => FAST_MUL_EN,        -- use DSPs for M extension's multiplier
297
    FAST_SHIFT_EN                => FAST_SHIFT_EN,      -- use barrel shifter for shift operations
298
    CPU_CNT_WIDTH                => CPU_CNT_WIDTH,      -- total width of CPU cycle and instret counters (0..64)
299
    -- Physical Memory Protection (PMP) --
300
    PMP_NUM_REGIONS              => PMP_NUM_REGIONS,    -- number of regions (0..64)
301
    PMP_MIN_GRANULARITY          => PMP_MIN_GRANULARITY, -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
302
    -- Hardware Performance Monitors (HPM) --
303
    HPM_NUM_CNTS                 => HPM_NUM_CNTS,       -- number of implemented HPM counters (0..29)
304
    HPM_CNT_WIDTH                => HPM_CNT_WIDTH,      -- total size of HPM counters (0..64)
305
    -- Internal Instruction memory --
306
    MEM_INT_IMEM_EN              => MEM_INT_IMEM_EN,    -- implement processor-internal instruction memory
307
    MEM_INT_IMEM_SIZE            => MEM_INT_IMEM_SIZE,  -- size of processor-internal instruction memory in bytes
308
    -- Internal Data memory --
309
    MEM_INT_DMEM_EN              => MEM_INT_DMEM_EN,    -- implement processor-internal data memory
310
    MEM_INT_DMEM_SIZE            => MEM_INT_DMEM_SIZE,  -- size of processor-internal data memory in bytes
311
    -- Internal Cache memory --
312
    ICACHE_EN                    => ICACHE_EN,          -- implement instruction cache
313
    ICACHE_NUM_BLOCKS            => ICACHE_NUM_BLOCKS,  -- i-cache: number of blocks (min 1), has to be a power of 2
314
    ICACHE_BLOCK_SIZE            => ICACHE_BLOCK_SIZE,  -- i-cache: block size in bytes (min 4), has to be a power of 2
315
    ICACHE_ASSOCIATIVITY         => ICACHE_ASSOCIATIVITY, -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
316
    -- External memory interface --
317
    MEM_EXT_EN                   => true,               -- implement external memory bus interface?
318
    MEM_EXT_TIMEOUT              => 0,                  -- cycles after a pending bus access auto-terminates (0 = disabled)
319
    MEM_EXT_PIPE_MODE            => false,              -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
320
    MEM_EXT_BIG_ENDIAN           => false,              -- byte order: true=big-endian, false=little-endian
321
    MEM_EXT_ASYNC_RX             => false,              -- use register buffer for RX data when false
322
    -- External Interrupts Controller (XIRQ) --
323
    XIRQ_NUM_CH                  => XIRQ_NUM_CH, -- number of external IRQ channels (0..32)
324
    XIRQ_TRIGGER_TYPE            => XIRQ_TRIGGER_TYPE_INT, -- trigger type: 0=level, 1=edge
325
    XIRQ_TRIGGER_POLARITY        => XIRQ_TRIGGER_POLARITY_INT, -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
326
    -- Processor peripherals --
327
    IO_GPIO_EN                   => IO_GPIO_EN,         -- implement general purpose input/output port unit (GPIO)?
328
    IO_MTIME_EN                  => IO_MTIME_EN,        -- implement machine system timer (MTIME)?
329
    IO_UART0_EN                  => IO_UART0_EN,        -- implement primary universal asynchronous receiver/transmitter (UART0)?
330 65 zero_gravi
    IO_UART0_RX_FIFO             => IO_UART0_RX_FIFO,   -- RX fifo depth, has to be a power of two, min 1
331
    IO_UART0_TX_FIFO             => IO_UART0_TX_FIFO,   -- TX fifo depth, has to be a power of two, min 1
332 63 zero_gravi
    IO_UART1_EN                  => IO_UART1_EN,        -- implement secondary universal asynchronous receiver/transmitter (UART1)?
333 65 zero_gravi
    IO_UART1_RX_FIFO             => IO_UART1_RX_FIFO,   -- RX fifo depth, has to be a power of two, min 1
334
    IO_UART1_TX_FIFO             => IO_UART1_TX_FIFO,   -- TX fifo depth, has to be a power of two, min 1
335 63 zero_gravi
    IO_SPI_EN                    => IO_SPI_EN,          -- implement serial peripheral interface (SPI)?
336
    IO_TWI_EN                    => IO_TWI_EN,          -- implement two-wire interface (TWI)?
337
    IO_PWM_NUM_CH                => IO_PWM_NUM_CH,      -- number of PWM channels to implement (0..60); 0 = disabled
338
    IO_WDT_EN                    => IO_WDT_EN,          -- implement watch dog timer (WDT)?
339
    IO_TRNG_EN                   => IO_TRNG_EN,         -- implement true random number generator (TRNG)?
340
    IO_CFS_EN                    => IO_CFS_EN,          -- implement custom functions subsystem (CFS)?
341
    IO_CFS_CONFIG                => IO_CFS_CONFIG_INT,  -- custom CFS configuration generic
342
    IO_CFS_IN_SIZE               => IO_CFS_IN_SIZE,     -- size of CFS input conduit in bits
343
    IO_CFS_OUT_SIZE              => IO_CFS_OUT_SIZE,    -- size of CFS output conduit in bits
344 65 zero_gravi
    IO_NEOLED_EN                 => IO_NEOLED_EN,       -- implement NeoPixel-compatible smart LED interface (NEOLED)?
345 67 zero_gravi
    IO_NEOLED_TX_FIFO            => IO_NEOLED_TX_FIFO,  -- NEOLED TX FIFO depth, 1..32k, has to be a power of two
346
    IO_GPTMR_EN                  => IO_GPTMR_EN         -- implement general purpose timer (GPTMR)?
347 63 zero_gravi
  )
348
  port map (
349
    -- Global control --
350
    clk_i       => clk_i_int,       -- global clock, rising edge
351
    rstn_i      => rstn_i_int,      -- global reset, low-active, async
352
    -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) --
353
    jtag_trst_i => jtag_trst_i_int, -- low-active TAP reset (optional)
354
    jtag_tck_i  => jtag_tck_i_int,  -- serial clock
355
    jtag_tdi_i  => jtag_tdi_i_int,  -- serial data input
356
    jtag_tdo_o  => jtag_tdo_o_int,  -- serial data output
357
    jtag_tms_i  => jtag_tms_i_int,  -- mode select
358
    -- Wishbone bus interface (available if MEM_EXT_EN = true) --
359
    wb_tag_o    => wb_core.tag,     -- tag
360
    wb_adr_o    => wb_core.adr,     -- address
361
    wb_dat_i    => wb_core.di,      -- read data
362
    wb_dat_o    => wb_core.do,      -- write data
363
    wb_we_o     => wb_core.we,      -- read/write
364
    wb_sel_o    => wb_core.sel,     -- byte enable
365
    wb_stb_o    => wb_core.stb,     -- strobe
366
    wb_cyc_o    => wb_core.cyc,     -- valid cycle
367
    wb_lock_o   => wb_core.lock,    -- exclusive access request
368
    wb_ack_i    => wb_core.ack,     -- transfer acknowledge
369
    wb_err_i    => wb_core.err,     -- transfer error
370
    -- Advanced memory control signals (available if MEM_EXT_EN = true) --
371
    fence_o     => open,            -- indicates an executed FENCE operation
372
    fencei_o    => open,            -- indicates an executed FENCEI operation
373
    -- GPIO (available if IO_GPIO_EN = true) --
374
    gpio_o      => gpio_o_int,      -- parallel output
375
    gpio_i      => gpio_i_int,      -- parallel input
376
    -- primary UART0 (available if IO_UART0_EN = true) --
377
    uart0_txd_o => uart0_txd_o_int, -- UART0 send data
378
    uart0_rxd_i => uart0_rxd_i_int, -- UART0 receive data
379
    uart0_rts_o => uart0_rts_o_int, -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
380
    uart0_cts_i => uart0_cts_i_int, -- hw flow control: UART0.TX allowed to transmit, low-active, optional
381
    -- secondary UART1 (available if IO_UART1_EN = true) --
382
    uart1_txd_o => uart1_txd_o_int, -- UART1 send data
383
    uart1_rxd_i => uart1_rxd_i_int, -- UART1 receive data
384
    uart1_rts_o => uart1_rts_o_int, -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
385
    uart1_cts_i => uart1_cts_i_int, -- hw flow control: UART1.TX allowed to transmit, low-active, optional
386
    -- SPI (available if IO_SPI_EN = true) --
387
    spi_sck_o   => spi_sck_o_int,   -- SPI serial clock
388
    spi_sdo_o   => spi_sdo_o_int,   -- controller data out, peripheral data in
389
    spi_sdi_i   => spi_sdi_i_int,   -- controller data in, peripheral data out
390
    spi_csn_o   => spi_csn_o_int,   -- SPI CS
391
    -- TWI (available if IO_TWI_EN = true) --
392
    twi_sda_io  => twi_sda_io,      -- twi serial data line
393
    twi_scl_io  => twi_scl_io,      -- twi serial clock line
394
    -- PWM available if IO_PWM_NUM_CH > 0) --
395
    pwm_o       => pwm_o_int,       -- pwm channels
396
    -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) --
397
    cfs_in_i    => cfs_in_i_int,    -- custom inputs
398
    cfs_out_o   => cfs_out_o_int,   -- custom outputs
399
    -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
400
    neoled_o    => neoled_o_int,    -- async serial data line
401
    -- System time --
402
    mtime_i     => (others => '0'), -- current system time from ext. MTIME (if IO_MTIME_EN = false)
403
    mtime_o     => open,            -- current system time from int. MTIME (if IO_MTIME_EN = true)
404
    -- External platform interrupts (available if XIRQ_NUM_CH > 0) --
405
    xirq_i      => xirq_i_int,      -- IRQ channels
406
    -- CPU Interrupts --
407
    mtime_irq_i => '0',             -- machine timer interrupt, available if IO_MTIME_EN = false
408
    msw_irq_i   => msw_irq_i_int,   -- machine software interrupt
409
    mext_irq_i  => mext_irq_i_int   -- machine external interrupt
410
  );
411
 
412
  -- type conversion --
413
  gpio_o          <= std_logic_vector(gpio_o_int);
414
  gpio_i_int      <= std_ulogic_vector(gpio_i);
415
 
416
  jtag_trst_i_int <= std_ulogic(jtag_trst_i);
417
  jtag_tck_i_int  <= std_ulogic(jtag_tck_i);
418
  jtag_tdi_i_int  <= std_ulogic(jtag_tdi_i);
419
  jtag_tdo_o      <= std_logic(jtag_tdo_o_int);
420
  jtag_tms_i_int  <= std_ulogic(jtag_tms_i);
421
 
422
  uart0_txd_o     <= std_logic(uart0_txd_o_int);
423
  uart0_rxd_i_int <= std_ulogic(uart0_rxd_i);
424 65 zero_gravi
  uart0_rts_o     <= std_logic(uart0_rts_o_int);
425
  uart0_cts_i_int <= std_ulogic(uart0_cts_i);
426
  uart1_txd_o     <= std_logic(uart1_txd_o_int);
427
  uart1_rxd_i_int <= std_ulogic(uart1_rxd_i);
428
  uart1_rts_o     <= std_logic(uart1_rts_o_int);
429
  uart1_cts_i_int <= std_ulogic(uart1_cts_i);
430 63 zero_gravi
 
431
  spi_sck_o       <= std_logic(spi_sck_o_int);
432
  spi_sdo_o       <= std_logic(spi_sdo_o_int);
433
  spi_sdi_i_int   <= std_ulogic(spi_sdi_i);
434
  spi_csn_o       <= std_logic_vector(spi_csn_o_int);
435
 
436
  pwm_o           <= std_logic_vector(pwm_o_int);
437
 
438
  cfs_in_i_int    <= std_ulogic_vector(cfs_in_i);
439
  cfs_out_o       <= std_logic_vector(cfs_out_o_int);
440
 
441
  neoled_o        <= std_logic(neoled_o_int);
442
 
443 64 zero_gravi
  xirq_i_int      <= std_ulogic_vector(xirq_i);
444
 
445
  msw_irq_i_int   <= std_ulogic(msw_irq_i);
446 63 zero_gravi
  mext_irq_i_int  <= std_ulogic(mext_irq_i);
447
 
448
 
449
  -- Wishbone to AXI4-Lite Bridge -----------------------------------------------------------
450
  -- -------------------------------------------------------------------------------------------
451
 
452
  -- access arbiter --
453
  axi_access_arbiter: process(rstn_i_int, clk_i_int)
454
  begin
455
    if (rstn_i_int = '0') then
456
      ctrl.radr_received <= '0';
457
      ctrl.wadr_received <= '0';
458
      ctrl.wdat_received <= '0';
459
    elsif rising_edge(clk_i_int) then
460
      if (wb_core.cyc = '0') then -- idle
461
        ctrl.radr_received <= '0';
462
        ctrl.wadr_received <= '0';
463
        ctrl.wdat_received <= '0';
464
      else -- busy
465
        -- "read address received" flag --
466
        if (wb_core.we = '0') then -- pending READ
467
          if (m_axi_arready = '1') then -- read address received by interconnect?
468
            ctrl.radr_received <= '1';
469
          end if;
470
        end if;
471
        -- "write address received" flag --
472
        if (wb_core.we = '1') then -- pending WRITE
473
          if (m_axi_awready = '1') then -- write address received by interconnect?
474
            ctrl.wadr_received <= '1';
475
          end if;
476
        end if;
477
        -- "write data received" flag --
478
        if (wb_core.we = '1') then -- pending WRITE
479
          if (m_axi_wready = '1') then -- write data received by interconnect?
480
            ctrl.wdat_received <= '1';
481
          end if;
482
        end if;
483
      end if;
484
    end if;
485
  end process axi_access_arbiter;
486
 
487
 
488
  -- AXI4-Lite Global Signals --
489
  clk_i_int     <= std_ulogic(m_axi_aclk);
490
  rstn_i_int    <= std_ulogic(m_axi_aresetn);
491
 
492
 
493
  -- AXI4-Lite Read Address Channel --
494
  m_axi_araddr  <= std_logic_vector(wb_core.adr);
495
  m_axi_arvalid <= std_logic((wb_core.cyc and (not wb_core.we)) and (not ctrl.radr_received));
496
--m_axi_arprot  <= "000"; -- recommended by Xilinx
497
  m_axi_arprot(0) <= wb_core.tag(0); -- 0:unprivileged access, 1:privileged access
498
  m_axi_arprot(1) <= wb_core.tag(1); -- 0:secure access, 1:non-secure access
499
  m_axi_arprot(2) <= wb_core.tag(2); -- 0:data access, 1:instruction access
500
 
501
  -- AXI4-Lite Read Data Channel --
502
  m_axi_rready  <= std_logic(wb_core.cyc and (not wb_core.we));
503
  wb_core.di    <= std_ulogic_vector(m_axi_rdata);
504
  ack_read      <= std_ulogic(m_axi_rvalid);
505
  err_read      <= '0' when (m_axi_rresp = "00") else '1'; -- read response = ok? check this signal only when m_axi_rvalid = '1'
506
 
507
 
508
  -- AXI4-Lite Write Address Channel --
509
  m_axi_awaddr  <= std_logic_vector(wb_core.adr);
510
  m_axi_awvalid <= std_logic((wb_core.cyc and wb_core.we) and (not ctrl.wadr_received));
511
--m_axi_awprot  <= "000"; -- recommended by Xilinx
512
  m_axi_awprot(0) <= wb_core.tag(0); -- 0:unprivileged access, 1:privileged access
513
  m_axi_awprot(1) <= wb_core.tag(1); -- 0:secure access, 1:non-secure access
514
  m_axi_awprot(2) <= wb_core.tag(2); -- 0:data access, 1:instruction access
515
 
516
  -- AXI4-Lite Write Data Channel --
517
  m_axi_wdata   <= std_logic_vector(wb_core.do);
518
  m_axi_wvalid  <= std_logic((wb_core.cyc and wb_core.we) and (not ctrl.wdat_received));
519
  m_axi_wstrb   <= std_logic_vector(wb_core.sel); -- byte-enable
520
 
521
  -- AXI4-Lite Write Response Channel --
522
  m_axi_bready  <= std_logic(wb_core.cyc and wb_core.we);
523
  ack_write     <= std_ulogic(m_axi_bvalid);
524
  err_write     <= '0' when (m_axi_bresp = "00") else '1'; -- write response = ok? check this signal only when m_axi_bvalid = '1'
525
 
526
 
527
  -- Wishbone transfer termination --
528
  wb_core.ack   <= ack_read or ack_write;
529
  wb_core.err   <= (ack_read and err_read) or (ack_write and err_write) or wb_core.lock;
530
 
531
 
532
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.