OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [sim/] [README.md] - Blame information for rev 40

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 40 zero_gravi
## Simulation Source Folder
2
 
3
### [`ghdl`](https://github.com/stnolting/neorv32/tree/master/sim/ghdl)
4
 
5
This folder contains a script for simulating the processor using GHDL.
6
 
7
### [`rtl_modules`](https://github.com/stnolting/neorv32/tree/master/sim/rtl_modules)
8
 
9
This folder provides additional/alternative simulation components. The the comments in the according files for more information.
10
 
11
### [`vivado`](https://github.com/stnolting/neorv32/tree/master/sim/vivado)
12
 
13
This folder provides an example waveform configuration (for Xilinx ISIM simulator) for the default testbench.
14
 
15
### [`neorv32_tb.vhd`](https://github.com/stnolting/neorv32/tree/master/sim/neorv32_tb.vhd)
16
 
17
Default testbench for the NEORV32 Processor.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.