OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [sim/] [neorv32_tb.vhd] - Blame information for rev 23

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2 3 zero_gravi
-- # << NEORV32 - Simple Testbench >>                                                              #
3 2 zero_gravi
-- # ********************************************************************************************* #
4 3 zero_gravi
-- # This testbench provides a virtual UART receiver connected to the processor's uart_txd_o       #
5
-- # signals. The received chars are shown in the simulator console and also written to a file     #
6
-- # ("neorv32.testbench_uart.out").                                                               #
7
-- # Futhermore, this testbench provides a simple RAM connected to the external Wishbone bus.      #
8 11 zero_gravi
-- # The testbench configures the processor with all optional element enabled by default.          #
9 3 zero_gravi
-- # ********************************************************************************************* #
10 2 zero_gravi
-- # BSD 3-Clause License                                                                          #
11
-- #                                                                                               #
12
-- # Copyright (c) 2020, Stephan Nolting. All rights reserved.                                     #
13
-- #                                                                                               #
14
-- # Redistribution and use in source and binary forms, with or without modification, are          #
15
-- # permitted provided that the following conditions are met:                                     #
16
-- #                                                                                               #
17
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
18
-- #    conditions and the following disclaimer.                                                   #
19
-- #                                                                                               #
20
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
21
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
22
-- #    provided with the distribution.                                                            #
23
-- #                                                                                               #
24
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
25
-- #    endorse or promote products derived from this software without specific prior written      #
26
-- #    permission.                                                                                #
27
-- #                                                                                               #
28
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
29
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
30
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
31
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
32
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
33
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
34
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
35
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
36
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
37
-- # ********************************************************************************************* #
38
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
39
-- #################################################################################################
40
 
41
library ieee;
42
use ieee.std_logic_1164.all;
43
use ieee.numeric_std.all;
44
use ieee.math_real.all;
45
 
46
library neorv32;
47
use neorv32.neorv32_package.all;
48
use std.textio.all;
49
 
50
entity neorv32_tb is
51
end neorv32_tb;
52
 
53
architecture neorv32_tb_rtl of neorv32_tb is
54
 
55
  -- User Configuration ---------------------------------------------------------------------
56
  -- -------------------------------------------------------------------------------------------
57
  constant t_clock_c          : time := 10 ns; -- main clock period
58
  constant f_clock_c          : real := 100000000.0; -- main clock in Hz
59
  constant f_clock_nat_c      : natural := 100000000; -- main clock in Hz
60
  constant baud_rate_c        : real := 19200.0; -- standard UART baudrate
61 3 zero_gravi
  constant wb_mem_base_addr_c : std_ulogic_vector(31 downto 0) := x"F0000000"; -- wishbone memory base address
62 2 zero_gravi
  constant wb_mem_size_c      : natural := 256; -- wishbone memory size in bytes
63 23 zero_gravi
  constant wb_mem_latency_c   : natural := 8; -- latency in clock cycles (min 1)
64 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
65
 
66 3 zero_gravi
  -- text.io --
67
  file file_uart_tx_out : text open write_mode is "neorv32.testbench_uart.out";
68 2 zero_gravi
 
69
  -- internal configuration --
70
  constant baud_val_c : real    := f_clock_c / baud_rate_c;
71
  constant f_clk_c    : natural := natural(f_clock_c);
72
 
73
  -- generators --
74
  signal clk_gen, rst_gen : std_ulogic := '0';
75
 
76
  -- simulation uart receiver --
77
  signal uart_txd         : std_ulogic;
78
  signal uart_rx_sync     : std_ulogic_vector(04 downto 0) := (others => '1');
79
  signal uart_rx_busy     : std_ulogic := '0';
80
  signal uart_rx_sreg     : std_ulogic_vector(08 downto 0) := (others => '0');
81
  signal uart_rx_baud_cnt : real;
82
  signal uart_rx_bitcnt   : natural;
83
 
84
  -- gpio --
85 22 zero_gravi
  signal gpio : std_ulogic_vector(31 downto 0);
86 2 zero_gravi
 
87
  -- twi --
88
  signal twi_scl, twi_sda : std_logic;
89
 
90
  -- spi --
91
  signal spi_data : std_logic;
92
 
93
  -- Wishbone bus --
94
  type wishbone_t is record
95
    addr  : std_ulogic_vector(31 downto 0); -- address
96
    wdata : std_ulogic_vector(31 downto 0); -- master write data
97
    rdata : std_ulogic_vector(31 downto 0); -- master read data
98
    we    : std_ulogic; -- write enable
99
    sel   : std_ulogic_vector(03 downto 0); -- byte enable
100
    stb   : std_ulogic; -- strobe
101
    cyc   : std_ulogic; -- valid cycle
102
    ack   : std_ulogic; -- transfer acknowledge
103
    err   : std_ulogic; -- transfer error
104
  end record;
105
  signal wb_cpu : wishbone_t;
106
 
107 23 zero_gravi
  -- Wishbone memory --
108
  type wb_mem_ram_t is array (0 to wb_mem_size_c/4-1) of std_ulogic_vector(31 downto 0);
109
  type wb_mem_read_latency_t is array (0 to wb_mem_latency_c-1) of std_ulogic_vector(31 downto 0);
110
  type wb_mem_t is record
111
    ram    : wb_mem_ram_t;
112
    rdata  : wb_mem_read_latency_t;
113
    acc_en : std_ulogic;
114
    ack    : std_ulogic_vector(wb_mem_latency_c-1 downto 0);
115
    rb_en  : std_ulogic_vector(wb_mem_latency_c-1 downto 0);
116
  end record;
117
  signal wb_mem : wb_mem_t;
118 2 zero_gravi
 
119
begin
120
 
121
  -- Clock/Reset Generator ------------------------------------------------------------------
122
  -- -------------------------------------------------------------------------------------------
123
  clk_gen <= not clk_gen after (t_clock_c/2);
124
  rst_gen <= '0', '1' after 60*(t_clock_c/2);
125
 
126
 
127
  -- CPU Core -------------------------------------------------------------------------------
128
  -- -------------------------------------------------------------------------------------------
129
  neorv32_top_inst: neorv32_top
130
  generic map (
131
    -- General --
132 8 zero_gravi
    CLOCK_FREQUENCY              => f_clock_nat_c, -- clock frequency of clk_i in Hz
133
    BOOTLOADER_USE               => false,         -- implement processor-internal bootloader?
134 12 zero_gravi
    USER_CODE                    => x"19880704",   -- custom user code
135 2 zero_gravi
    -- RISC-V CPU Extensions --
136 8 zero_gravi
    CPU_EXTENSION_RISCV_C        => true,          -- implement compressed extension?
137
    CPU_EXTENSION_RISCV_E        => false,         -- implement embedded RF extension?
138
    CPU_EXTENSION_RISCV_M        => true,          -- implement muld/div extension?
139 15 zero_gravi
    CPU_EXTENSION_RISCV_U        => true,          -- implement user mode extension?
140 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    => true,          -- implement CSR system?
141
    CPU_EXTENSION_RISCV_Zifencei => true,          -- implement instruction stream sync.?
142 19 zero_gravi
    -- Extension Options --
143
    FAST_MUL_EN                  => false,         -- use DSPs for M extension's multiplier
144 15 zero_gravi
    -- Physical Memory Protection (PMP) --
145
    PMP_USE                      => true,          -- implement PMP?
146
    PMP_NUM_REGIONS              => 4,             -- number of regions (max 16)
147 16 zero_gravi
    PMP_GRANULARITY              => 14,            -- minimal region granularity (1=8B, 2=16B, 3=32B, ...) default is 64k
148 23 zero_gravi
    -- Internal Instruction memory --
149 8 zero_gravi
    MEM_INT_IMEM_USE             => true,          -- implement processor-internal instruction memory
150
    MEM_INT_IMEM_SIZE            => 16*1024,       -- size of processor-internal instruction memory in bytes
151
    MEM_INT_IMEM_ROM             => false,         -- implement processor-internal instruction memory as ROM
152 23 zero_gravi
    -- Internal Data memory --
153 8 zero_gravi
    MEM_INT_DMEM_USE             => true,          -- implement processor-internal data memory
154
    MEM_INT_DMEM_SIZE            => 8*1024,        -- size of processor-internal data memory in bytes
155 23 zero_gravi
    -- External memory interface --
156 8 zero_gravi
    MEM_EXT_USE                  => true,          -- implement external memory bus interface?
157
    MEM_EXT_REG_STAGES           => 2,             -- number of interface register stages (0,1,2)
158
    MEM_EXT_TIMEOUT              => 15,            -- cycles after which a valid bus access will timeout
159 2 zero_gravi
    -- Processor peripherals --
160 8 zero_gravi
    IO_GPIO_USE                  => true,          -- implement general purpose input/output port unit (GPIO)?
161
    IO_MTIME_USE                 => true,          -- implement machine system timer (MTIME)?
162
    IO_UART_USE                  => true,          -- implement universal asynchronous receiver/transmitter (UART)?
163
    IO_SPI_USE                   => true,          -- implement serial peripheral interface (SPI)?
164
    IO_TWI_USE                   => true,          -- implement two-wire interface (TWI)?
165
    IO_PWM_USE                   => true,          -- implement pulse-width modulation unit (PWM)?
166
    IO_WDT_USE                   => true,          -- implement watch dog timer (WDT)?
167 23 zero_gravi
    IO_TRNG_USE                  => false,         -- DEFAULT TRNG CONFIG CANNOT BE SIMULATED!
168
    IO_DEVNULL_USE               => true,          -- implement dummy device (DEVNULL)?
169
    IO_CFU_USE                   => true           -- implement custom functions unit (CFU)?
170 2 zero_gravi
  )
171
  port map (
172
    -- Global control --
173
    clk_i      => clk_gen,         -- global clock, rising edge
174
    rstn_i     => rst_gen,         -- global reset, low-active, async
175
    -- Wishbone bus interface --
176
    wb_adr_o   => wb_cpu.addr,     -- address
177
    wb_dat_i   => wb_cpu.rdata,    -- read data
178
    wb_dat_o   => wb_cpu.wdata,    -- write data
179
    wb_we_o    => wb_cpu.we,       -- read/write
180
    wb_sel_o   => wb_cpu.sel,      -- byte enable
181
    wb_stb_o   => wb_cpu.stb,      -- strobe
182
    wb_cyc_o   => wb_cpu.cyc,      -- valid cycle
183
    wb_ack_i   => wb_cpu.ack,      -- transfer acknowledge
184
    wb_err_i   => wb_cpu.err,      -- transfer error
185 12 zero_gravi
    -- Advanced memory control signals --
186
    fence_o    => open,            -- indicates an executed FENCE operation
187
    fencei_o   => open,            -- indicates an executed FENCEI operation
188 2 zero_gravi
    -- GPIO --
189
    gpio_o     => gpio,            -- parallel output
190
    gpio_i     => gpio,            -- parallel input
191
    -- UART --
192
    uart_txd_o => uart_txd,        -- UART send data
193
    uart_rxd_i => uart_txd,        -- UART receive data
194
    -- SPI --
195 6 zero_gravi
    spi_sck_o  => open,            -- SPI serial clock
196
    spi_sdo_o  => spi_data,        -- controller data out, peripheral data in
197
    spi_sdi_i  => spi_data,        -- controller data in, peripheral data out
198 2 zero_gravi
    spi_csn_o  => open,            -- SPI CS
199
    -- TWI --
200
    twi_sda_io => twi_sda,         -- twi serial data line
201
    twi_scl_io => twi_scl,         -- twi serial clock line
202
    -- PWM --
203
    pwm_o      => open,            -- pwm channels
204
    -- Interrupts --
205 14 zero_gravi
    mext_irq_i => '0'              -- machine external interrupt
206 2 zero_gravi
  );
207
 
208 3 zero_gravi
  -- TWI termination --
209 2 zero_gravi
  twi_scl <= 'H';
210
  twi_sda <= 'H';
211
 
212
 
213
  -- Console UART Receiver ------------------------------------------------------------------
214
  -- -------------------------------------------------------------------------------------------
215
  uart_rx_console: process(clk_gen)
216 3 zero_gravi
    variable i : integer;
217
    variable l : line;
218 2 zero_gravi
  begin
219
    -- "UART" --
220
    if rising_edge(clk_gen) then
221
      -- synchronizer --
222
      uart_rx_sync <= uart_rx_sync(3 downto 0) & uart_txd;
223
      -- arbiter --
224
      if (uart_rx_busy = '0') then -- idle
225
        uart_rx_busy     <= '0';
226
        uart_rx_baud_cnt <= round(0.5 * baud_val_c);
227
        uart_rx_bitcnt   <= 9;
228
        if (uart_rx_sync(4 downto 1) = "1100") then -- start bit? (falling edge)
229
          uart_rx_busy <= '1';
230
        end if;
231
      else
232
        if (uart_rx_baud_cnt = 0.0) then
233
          if (uart_rx_bitcnt = 1) then
234
            uart_rx_baud_cnt <= round(0.5 * baud_val_c);
235
          else
236
            uart_rx_baud_cnt <= round(baud_val_c);
237
          end if;
238
          if (uart_rx_bitcnt = 0) then
239
            uart_rx_busy <= '0'; -- done
240
            i := to_integer(unsigned(uart_rx_sreg(8 downto 1)));
241
 
242 3 zero_gravi
            if (i < 32) or (i > 32+95) then -- printable char?
243
              report "SIM_UART TX: (" & integer'image(i) & ")"; -- print code
244 2 zero_gravi
            else
245 3 zero_gravi
              report "SIM_UART TX: " & character'val(i); -- print ASCII
246 2 zero_gravi
            end if;
247
 
248
            if (i = 10) then -- Linux line break
249 3 zero_gravi
              writeline(file_uart_tx_out, l);
250 2 zero_gravi
            elsif (i /= 13) then -- Remove additional carriage return
251 3 zero_gravi
              write(l, character'val(i));
252 2 zero_gravi
            end if;
253
          else
254
            uart_rx_sreg   <= uart_rx_sync(4) & uart_rx_sreg(8 downto 1);
255
            uart_rx_bitcnt <= uart_rx_bitcnt - 1;
256
          end if;
257
        else
258
          uart_rx_baud_cnt <= uart_rx_baud_cnt - 1.0;
259
        end if;
260
      end if;
261
    end if;
262
  end process uart_rx_console;
263
 
264
 
265
  -- Wishbone Memory ------------------------------------------------------------------------
266
  -- -------------------------------------------------------------------------------------------
267 23 zero_gravi
  wb_mem_ram_access: process(clk_gen)
268
  begin
269
    if rising_edge(clk_gen) then
270
      -- control --
271
      wb_mem.rb_en(0) <= wb_cpu.cyc and wb_cpu.stb and wb_mem.acc_en and (not wb_cpu.we); -- read-back control
272
      wb_mem.ack(0)   <= wb_cpu.cyc and wb_cpu.stb and wb_mem.acc_en; -- wishbone acknowledge
273
      -- write access --
274
      if ((wb_cpu.cyc and wb_cpu.stb and wb_mem.acc_en and wb_cpu.we) = '1') then -- valid write access
275
        for i in 0 to 3 loop
276
          if (wb_cpu.sel(i) = '1') then
277
            wb_mem.ram(to_integer(unsigned(wb_cpu.addr(index_size_f(wb_mem_size_c/4)+1 downto 2))))(7+i*8 downto 0+i*8) <= wb_cpu.wdata(7+i*8 downto 0+i*8);
278
          end if;
279
        end loop; -- i
280 2 zero_gravi
      end if;
281 23 zero_gravi
      -- read access --
282
      wb_mem.rdata(0) <= wb_mem.ram(to_integer(unsigned(wb_cpu.addr(index_size_f(wb_mem_size_c/4)+1 downto 2)))); -- word aligned
283
      -- virtual read and ack latency --
284
      if (wb_mem_latency_c > 1) then
285
        for i in 1 to wb_mem_latency_c-1 loop
286
          wb_mem.rdata(i) <= wb_mem.rdata(i-1);
287
          wb_mem.rb_en(i) <= wb_mem.rb_en(i-1);
288
          wb_mem.ack(i)   <= wb_mem.ack(i-1);
289
        end loop;
290
      end if;
291
    end if;
292
  end process wb_mem_ram_access;
293 2 zero_gravi
 
294 23 zero_gravi
  -- wishbone memory access? --
295
  wb_mem.acc_en <= '1' when (wb_cpu.addr >= wb_mem_base_addr_c) and (wb_cpu.addr < std_ulogic_vector(unsigned(wb_mem_base_addr_c) + wb_mem_size_c)) else '0';
296 2 zero_gravi
 
297 23 zero_gravi
  -- output to cpu --
298
  wb_cpu.rdata <= wb_mem.rdata(wb_mem_latency_c-1) when (wb_mem.rb_en(wb_mem_latency_c-1) = '1') else (others=> '0'); -- data output gate
299
  wb_cpu.ack   <= wb_mem.ack(wb_mem_latency_c-1);
300
  wb_cpu.err   <= '0';
301 2 zero_gravi
 
302 3 zero_gravi
 
303 2 zero_gravi
end neorv32_tb_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.