OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [sim/] [simple/] [ghdl.setup.sh] - Blame information for rev 65

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 64 zero_gravi
#!/usr/bin/env bash
2
 
3
set -e
4
 
5
cd $(dirname "$0")
6
 
7
NEORV32_LOCAL_RTL=${NEORV32_LOCAL_RTL:-../../rtl}
8
 
9
mkdir -p build
10
 
11
ghdl -i --work=neorv32 --workdir=build \
12
  "$NEORV32_LOCAL_RTL"/core/*.vhd \
13
  "$NEORV32_LOCAL_RTL"/core/mem/*.vhd \
14
  "$NEORV32_LOCAL_RTL"/processor_templates/*.vhd \
15
  "$NEORV32_LOCAL_RTL"/system_integration/*.vhd \
16
  "$NEORV32_LOCAL_RTL"/test_setups/*.vhd \
17
  neorv32_tb.simple.vhd \
18
  uart_rx.simple.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.