OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [sim/] [simple/] [uart_rx.simple.vhd] - Blame information for rev 64

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 64 zero_gravi
library ieee;
2
use ieee.std_logic_1164.all;
3
use ieee.numeric_std.all;
4
use ieee.math_real.all;
5
 
6
use std.textio.all;
7
 
8
entity uart_rx_simple is
9
  generic (
10
    name : string;
11
    uart_baud_val_c : real);
12
 
13
  port (
14
    clk : in std_ulogic;
15
    uart_txd : in std_ulogic
16
    );
17
end entity;
18
 
19
architecture a of uart_rx_simple is
20
  signal uart_rx_sync : std_ulogic_vector(04 downto 0) := (others => '1');
21
  signal uart_rx_busy : std_ulogic := '0';
22
  signal uart_rx_sreg : std_ulogic_vector(08 downto 0) := (others => '0');
23
  signal uart_rx_baud_cnt : real;
24
  signal uart_rx_bitcnt : natural;
25
 
26
  file file_uart_tx_out : text open write_mode is "neorv32.testbench_" & name & ".out";
27
 
28
begin
29
  uart_rx_console : process(clk)
30
    variable i : integer;
31
    variable l : line;
32
  begin
33
    -- "UART" --
34
    if rising_edge(clk) then
35
      -- synchronizer --
36
      uart_rx_sync <= uart_rx_sync(3 downto 0) & uart_txd;
37
      -- arbiter --
38
      if (uart_rx_busy = '0') then  -- idle
39
        uart_rx_busy <= '0';
40
        uart_rx_baud_cnt <= round(0.5 * uart_baud_val_c);
41
        uart_rx_bitcnt <= 9;
42
        if (uart_rx_sync(4 downto 1) = "1100") then  -- start bit? (falling edge)
43
          uart_rx_busy <= '1';
44
        end if;
45
      else
46
        if (uart_rx_baud_cnt <= 0.0) then
47
          if (uart_rx_bitcnt = 1) then
48
            uart_rx_baud_cnt <= round(0.5 * uart_baud_val_c);
49
          else
50
            uart_rx_baud_cnt <= round(uart_baud_val_c);
51
          end if;
52
          if (uart_rx_bitcnt = 0) then
53
            uart_rx_busy <= '0';  -- done
54
            i := to_integer(unsigned(uart_rx_sreg(8 downto 1)));
55
 
56
            if (i < 32) or (i > 32+95) then  -- printable char?
57
              report name & ".tx: (" & integer'image(i) & ")";  -- print code
58
            else
59
              report name & ".tx: " & character'val(i);  -- print ASCII
60
            end if;
61
 
62
            if (i = 10) then  -- Linux line break
63
              writeline(file_uart_tx_out, l);
64
            elsif (i /= 13) then  -- Remove additional carriage return
65
              write(l, character'val(i));
66
            end if;
67
          else
68
            uart_rx_sreg <= uart_rx_sync(4) & uart_rx_sreg(8 downto 1);
69
            uart_rx_bitcnt <= uart_rx_bitcnt - 1;
70
          end if;
71
        else
72
          uart_rx_baud_cnt <= uart_rx_baud_cnt - 1.0;
73
        end if;
74
      end if;
75
    end if;
76
  end process uart_rx_console;
77
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.