OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [sw/] [svd/] [neorv32.svd] - Blame information for rev 72

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 69 zero_gravi
2
 
3
4
  stnolting
5
  neorv32
6
  RISC-V
7
  1.6.4
8
  The NEORV32 RISC-V Processor
9
 
10
  
11
  
12
    NEORV32
13
    r2p0
14
    little
15
    true
16
    true
17
    false
18
    false
19
    true
20
    true
21
    0
22
    false
23
  
24
 
25
  
26
  8
27
  32
28
  32
29
  read-write
30
  0x00000000
31
  0x00000000 
32
 
33
  
34
  
35
 
36
    
37
    
38
      CFS
39
      Custom functions subsystem
40
      CFS
41
      0xFFFFFE00
42
 
43
      CFS_FIRQ1
44
 
45
      
46
        0
47
        0x80
48
        registers
49
      
50
 
51
      
52
        REG0Application-defined0x00
53
        REG1Application-defined0x04
54
        REG2Application-defined0x08
55
        REG3Application-defined0x0C
56
        REG4Application-defined0x10
57
        REG5Application-defined0x14
58
        REG6Application-defined0x18
59
        REG7Application-defined0x1C
60
        REG8Application-defined0x20
61
        REG9Application-defined0x24
62
        REG10Application-defined0x28
63
        REG11Application-defined0x2C
64
        REG12Application-defined0x30
65
        REG13Application-defined0x34
66
        REG14Application-defined0x38
67
        REG15Application-defined0x3C
68
        REG16Application-defined0x40
69
        REG17Application-defined0x44
70
        REG18Application-defined0x48
71
        REG19Application-defined0x4C
72
        REG20Application-defined0x50
73
        REG21Application-defined0x54
74
        REG22Application-defined0x58
75
        REG23Application-defined0x5C
76
        REG24Application-defined0x60
77
        REG25Application-defined0x64
78
        REG26Application-defined0x68
79
        REG27Application-defined0x6C
80
        REG28Application-defined0x70
81
        REG29Application-defined0x74
82
        REG30Application-defined0x78
83
        REG31Application-defined0x7C
84
      
85
    
86
 
87
    
88
    
89
      PWM
90
      Pulse-width modulation controller
91
      PWM
92
      0xFFFFFE80
93
 
94
      
95
        0
96
        0x40
97
        registers
98
      
99
 
100
      
101
        
102
          CTRL
103
          Control register
104
          0x00
105
          
106
            
107
              PWM_CTRL_EN
108
              [0:0]
109
              PWM controller enable flag
110
            
111
            
112
              PWM_CTRL_PRSCx
113
              [3:1]
114
              Clock prescaler select
115
            
116
          
117
        
118
        
119
          DUTY0
120
          Duty cycle register 0
121
          0x04
122
        
123
        
124
          DUTY1
125
          Duty cycle register 1
126
          0x08
127
        
128
        
129
          DUTY2
130
          Duty cycle register 2
131
          0x0C
132
        
133
        
134
          DUTY3
135
          Duty cycle register 3
136
          0x10
137
        
138
        
139
          DUTY4
140
          Duty cycle register 4
141
          0x14
142
        
143
        
144
          DUTY5
145
          Duty cycle register 5
146
          0x18
147
        
148
        
149
          DUTY6
150
          Duty cycle register 6
151
          0x1C
152
        
153
        
154
          DUTY7
155
          Duty cycle register 7
156
          0x20
157
        
158
        
159
          DUTY8
160
          Duty cycle register 8
161
          0x24
162
        
163
        
164
          DUTY9
165
          Duty cycle register 9
166
          0x28
167
        
168
        
169
          DUTY10
170
          Duty cycle register 10
171
          0x2C
172
        
173
        
174
          DUTY11
175
          Duty cycle register 11
176
          0x30
177
        
178
        
179
          DUTY12
180
          Duty cycle register 12
181
          0x34
182
        
183
        
184
          DUTY13
185
          Duty cycle register 13
186
          0x38
187
        
188
        
189
          DUTY14
190
          Duty cycle register 14
191
          0x3C
192
        
193
      
194
    
195
 
196
    
197
    
198
      SLINK
199
      Stream link interface
200
      SLINK
201
      0xFFFFFEC0
202
 
203
      SLINK_RX_FIRQ10
204
      SLINK_TX_FIRQ11
205
 
206
      
207
        0
208
        0x40
209
        registers
210
      
211
 
212
      
213
        
214
          CTRL
215
          Control register
216
          0x00
217
          
218
            
219
              SLINK_CTRL_RX_NUMx
220
              read-only
221
              [3:0]
222
              Number of implemented RX links
223
            
224
            
225
              SLINK_CTRL_TX_NUMx
226
              read-only
227
              [7:4]
228
              Number of implemented TX links
229
            
230
            
231
              SLINK_CTRL_RX_FIFO_Sx
232
              read-only
233
              [11:8]
234
              log2(RX FIFO size)
235
            
236
            
237
              SLINK_CTRL_TX_FIFO_Sx
238
              read-only
239
              [15:12]
240
              log2(TX FIFO size)
241
            
242
            
243
              SLINK_CTRL_EN
244
              read-write
245
              [31:31]
246
              SLINK enable flag
247
            
248
          
249
        
250
        
251
          IRQ
252
          Link interrupt configuration register
253
          0x08
254
          
255
            
256
              SLINK_IRQ_RX_EN
257
              [7:0]
258
              RX link interrupt enable
259
            
260
            
261
              SLINK_IRQ_RX_MODE
262
              [15:8]
263
              RX link interrupt mode
264
            
265
            
266
              SLINK_IRQ_TX_EN
267
              [23:16]
268
              TX link interrupt enable
269
            
270
            
271
              SLINK_IRQ_TX_MODE
272
              [31:24]
273
              TX link interrupt mode
274
            
275
          
276
        
277
        
278
          STATUS
279
          Link status register
280
          0x10
281
          
282
            
283
              SLINK_STATUS_RX_AVAIL
284
              [7:0]
285
              RX link n FIFO is NOT empty (data available)
286
            
287
            
288
              SLINK_STATUS_TX_FREE
289
              [15:8]
290
              TX link n FIFO is NOT full (ready to send)
291
            
292
            
293
              SLINK_STATUS_RX_HALF
294
              [23:16]
295
              RX link n FIFO fill level is >= half-full
296
            
297
            
298
              SLINK_STATUS_TX_HALF
299
              [31:24]
300
              TX link 0 FIFO fill level is > half-full
301
            
302
          
303
        
304
        
305
          DATA0
306
          Link 0 RTX data register
307
          0x20
308
        
309
        
310
          DATA1
311
          Link 1 RTX data register
312
          0x24
313
        
314
        
315
          DATA2
316
          Link 2 RTX data register
317
          0x28
318
        
319
        
320
          DATA3
321
          Link 3 RTX data register
322
          0x2C
323
        
324
        
325
          DATA4
326
          Link 4 RTX data register
327
          0x30
328
        
329
        
330
          DATA5
331
          Link 5 RTX data register
332
          0x34
333
        
334
        
335
          DATA6
336
          Link 6 RTX data register
337
          0x38
338
        
339
        
340
          DATA7
341
          Link 7 RTX data register
342
          0x3C
343
        
344
      
345
    
346
 
347 70 zero_gravi
    
348
    
349
      XIP
350
      Execute In Place Module
351
      CIP
352
      0xFFFFFF40
353
 
354
      
355
        0
356
        0x10
357
        registers
358
      
359
 
360
      
361
        
362
          CTRL
363
          Control register
364
          0x00
365
          
366
            
367
              XIP_CTRL_EN
368
              [0:0]
369
              XIP module enable flag
370
            
371
            
372
              XIP_CTRL_PRSC
373
              [3:1]
374
              SPI clock prescaler select
375
            
376
            
377
              XIP_CTRL_CPOL
378
              [4:4]
379
              SPI clock (idle) polarity
380
            
381
            
382
              XIP_CTRL_CPHA
383
              [5:5]
384
              SPI clock phase
385
            
386
            
387
              XIP_CTRL_SPI_NBYTES
388
              [9:6]
389
              Number of bytes in SPI transmission
390
            
391
            
392
              XIP_CTRL_XIP_EN
393
              [10:10]
394
              XIP mode enable
395
            
396
            
397
              XIP_CTRL_XIP_ABYTES
398
              [12:11]
399
              Number of XIP address bytes (minus 1)
400
            
401
            
402
              XIP_CTRL_RD_CMD
403
              [20:13]
404
              SPI flash read command
405
            
406
            
407
              XIP_CTRL_XIP_PAGE
408
              [24:21]
409
              XIP memory page
410
            
411
            
412
              XIP_CTRL_SPI_CSEN
413
              [25:25]
414
              SPI chip-select enable
415
            
416
            
417
              XIP_CTRL_HIGHSPEED
418
              [26:26]
419
              SPI high-speed mode enable (ignoring XIP_CTRL_PRSC)
420
            
421
            
422
              XIP_CTRL_PHY_BUSY
423
              [30:30]
424
              read-only
425
              SPI PHY busy
426
            
427
            
428
              XIP_CTRL_XIP_BUSY
429
              [31:31]
430
              read-only
431
              XIP access in progress
432
            
433
          
434
        
435
        
436
          DATA_LO
437
          Direct SPI access - data register low
438
          0x08
439
        
440
        
441
          DATA_HI
442
          Direct SPI access - data register high
443
          0x0C
444
        
445
      
446
    
447
 
448 69 zero_gravi
    
449
    
450
      GPTMR
451
      General purpose timer
452
      GPTMR
453
      0xFFFFFF60
454
 
455
      GPTMR_FIRQ12
456
 
457
      
458
        0
459
        0x10
460
        registers
461
      
462
 
463
      
464
        
465
          CTRL
466
          Control register
467
          0x00
468
          
469
            
470
              GPTMR_CTRL_EN
471
              [0:0]
472
              Timer enable flag
473
            
474
            
475
              GPTMR_CTRL_PRSC
476
              [3:1]
477
              Clock prescaler select
478
            
479
            
480
              GPTMR_CTRL_MODE
481
              [4:4]
482
              Timer mode: 0=single-shot mode, 1=continuous mode
483
            
484
          
485
        
486
        
487
          THRES
488
          Threshold register
489
          0x04
490
        
491
        
492
          COUNT
493
          Counter register
494
          0x08
495
        
496
      
497
    
498
 
499
    
500
    
501
      BUSKEEPER
502
      Bus keeper
503
      BUSKEEPER
504
      0xFFFFFF7C
505
 
506
      
507
        0
508
        0x04
509
        registers
510
      
511
 
512
      
513
        
514
          CTRL
515
          Control register
516
          0x00
517
          
518
            
519
              BUSKEEPER_ERR_TYPE
520
              [0:0]
521
              read-only
522
              Bus error type: 0=device error, 1=access timeout
523
            
524
            
525 70 zero_gravi
              BUSKEEPER_NULL_CHECK_EN
526
              [16:16]
527
              Enable NULL address check when set
528
            
529
            
530 69 zero_gravi
              BUSKEEPER_ERR_FLAG
531
              [31:31]
532
              Sticky error flag, clears after read or write access
533
            
534
          
535
        
536
      
537
    
538
 
539
    
540
    
541
      XIRQ
542
      External interrupts controller
543
      XIRQ
544
      0xFFFFFF80
545
 
546
      XIRQ_FIRQ8
547
 
548
      
549
        0
550
        0x10
551
        registers
552
      
553
 
554
      
555
        
556
          IER
557
          IRQ input enable register
558
          0x00
559
        
560
        
561
          IPR
562
          IRQ pending/ack/clear register
563
          0x04
564
        
565
        
566
          SCR
567
          IRQ source register
568
          0x08
569
        
570
      
571
    
572
 
573
    
574
    
575
      MTIME
576
      Machine timer
577
      MTIME
578
      0xFFFFFF90
579
 
580
      
581
        0
582
        0x10
583
        registers
584
      
585
 
586
      
587
        
588
          TIME_LO
589
          System time register - low
590
          0x00
591
        
592
        
593
          TIME_HI
594
          System time register - high
595
          0x04
596
        
597
        
598
          TIMECMP_LO
599
          Time compare register - low
600
          0x08
601
        
602
        
603
          TIMECMP_HI
604
          Time compare register - high
605
          0x0C
606
        
607
      
608
    
609
 
610
    
611
    
612
      UART0
613
      Primary universal asynchronous receiver and transmitter
614
      UART0
615
      0xFFFFFFA0
616
 
617
      UART0_RX_FIRQ2
618
      UART0_TX_FIRQ3
619
 
620
      
621
        0
622
        0x08
623
        registers
624
      
625
 
626
      
627
        
628
          CTRL
629
          Control register
630
          0x00
631
          
632
            
633
              UART_CTRL_BAUD
634
              [11:0]
635
              Baud rate divisor
636
            
637
            
638
              UART_CTRL_SIM_MODE
639
              [12:12]
640
              Simulation output override enable, for use in simulation only
641
            
642
            
643
              UART_CTRL_RX_EMPTY
644
              [13:13]
645
              read-only
646
              RX FIFO is empty
647
            
648
            
649
              UART_CTRL_RX_HALF
650
              [14:14]
651
              read-only
652
              RX FIFO is at least half-full
653
            
654
            
655
              UART_CTRL_RX_FULL
656
              [15:15]
657
              read-only
658
              RX FIFO is full
659
            
660
            
661
              UART_CTRL_TX_EMPTY
662
              [16:16]
663
              read-only
664
              TX FIFO is empty
665
            
666
            
667
              UART_CTRL_TX_HALF
668
              [17:17]
669
              read-only
670
              TX FIFO is at least half-full
671
            
672
            
673
              UART_CTRL_TX_FULL
674
              [18:18]
675
              read-only
676
              TX FIFO is full
677
            
678
            
679
              UART_CTRL_RTS_EN
680
              [20:20]
681
              Enable hardware flow control: Assert RTS output if UART.RX is ready to receive
682
            
683
            
684
              UART_CTRL_CTS_EN
685
              [21:21]
686
              Enable hardware flow control: UART.TX starts sending only if CTS input is asserted
687
            
688
            
689
              UART_CTRL_PMODE0
690
              [22:22]
691
              Parity configuration (0=even; 1=odd)
692
            
693
            
694
              UART_CTRL_PMODE1
695
              [23:23]
696
              Parity bit enabled when set
697
            
698
            
699
              UART_CTRL_PRSC
700
              [26:24]
701
              Clock prescaler select
702
            
703
            
704
              UART_CTRL_CTS
705
              [27:27]
706
              read-only
707
              current state of CTS input
708
            
709
            
710
              UART_CTRL_EN
711
              [28:28]
712
              UART enable flag
713
            
714
            
715
              UART_CTRL_RX_IRQ
716
              [29:29]
717
              RX IRQ mode: 1=FIFO at least half-full; 0=FIFO not empty
718
            
719
            
720
              UART_CTRL_TX_IRQ
721
              [30:30]
722
              TX IRQ mode: 1=FIFO less than half-full; 0=FIFO not full
723
            
724
            
725
              UART_CTRL_TX_BUSY
726
              [31:31]
727
              read-only
728
              Transmitter is busy when set
729
            
730
          
731
        
732
        
733
          DATA
734
          RX/TX data register
735
          0x04
736
          
737
            
738
              UART_DATA
739
              [7:0]
740
              Receive/transmit data
741
            
742
            
743
              UART_DATA_PERR
744
              [28:28]
745
              read-only
746
              RX parity error detected when set
747
            
748
            
749
              UART_DATA_FERR
750
              [29:29]
751
              read-only
752
              RX frame error (no valid stop bit) detected when set
753
            
754
            
755
              UART_DATA_OVERR
756
              [30:30]
757
              read-only
758
              RX parity error detected when set
759
            
760
            
761
              UART_DATA_AVAIL
762
              [31:31]
763
              read-only
764
              RX data available when set
765
            
766
          
767
        
768
      
769
    
770
 
771
    
772
    
773
      UART1
774
      Secondary universal asynchronous receiver and transmitter
775
      UART1
776
      0xFFFFFFD0
777
 
778
      UART1_RX_FIRQ4
779
      UART1_TX_FIRQ5
780
 
781
      
782
        0
783
        0x08
784
        registers
785
      
786
 
787
    
788
 
789
    
790
    
791
      SPI
792
      Serial peripheral interface controller
793
      SPI
794
      0xFFFFFFA8
795
 
796
      SPI_FIRQ6
797
 
798
      
799
        0
800
        0x08
801
        registers
802
      
803
 
804
      
805
        
806
          CTRL
807
          Control register
808
          0x00
809
          
810
            
811
              SPI_CTRL_CS
812
              [7:0]
813
              Direct chip select line
814
            
815
            
816
              SPI_CTRL_EN
817
              [8:8]
818
              SPI enable flag
819
            
820
            
821
              SPI_CTRL_CPHA
822
              [9:9]
823
              Clock phase
824
            
825
            
826
              SPI_CTRL_PRSC
827
              [12:10]
828
              Clock prescaler select
829
            
830
            
831
              SPI_CTRL_SIZE
832
              [14:13]
833
              Data transfer size
834
            
835
            
836
              SPI_CTRL_CPOL
837
              [15:15]
838
              Clock polarity
839
            
840
            
841 70 zero_gravi
              SPI_CTRL_HIGHSPEED
842
              [16:16]
843
              SPI high-speed mode enable (ignoring SPI_CTRL_PRSC)
844
            
845
            
846 69 zero_gravi
              SPI_CTRL_BUSY
847
              [31:31]
848
              read-only
849
              SPI busy flag
850
            
851
          
852
        
853
        
854
          DATA
855
          RX/TX data register
856
          0x04
857
        
858
      
859
    
860
 
861
    
862
    
863
      TWI
864
      Two-wire interface controller
865
      SPI
866
      0xFFFFFFB0
867
 
868
      TWI_FIRQ7
869
 
870
      
871
        0
872
        0x08
873
        registers
874
      
875
 
876
      
877
        
878
          CTRL
879
          Control register
880
          0x00
881
          
882
            
883
              TWI_CTRL_EN
884
              [0:0]
885
              TWI enable flag
886
            
887
            
888
              TWI_CTRL_START
889
              [1:1]
890
              Generate START condition, auto-clears
891
            
892
            
893
              TWI_CTRL_STOP
894
              [2:2]
895
              Generate STOP condition, auto-clears
896
            
897
            
898
              TWI_CTRL_PRSC
899
              [5:3]
900
              Clock prescaler select
901
            
902
            
903
              TWI_CTRL_MACK
904
              [6:6]
905
              Generate ACK by controller for each transmission
906
            
907
            
908
              TWI_CTRL_ACK
909
              [30:30]
910
              read-only
911
              ACK received when set
912
            
913
            
914
              TWI_CTRL_BUSY
915
              [31:31]
916
              read-only
917
              Transfer in progress, busy flag
918
            
919
          
920
        
921
        
922
          DATA
923
          RX/TX data register
924
          0x04
925
          
926
            
927
              TWI_DATA
928
              [7:0]
929
              RX/TX data
930
            
931
          
932
        
933
      
934
    
935
 
936
    
937
    
938
      TRNG
939
      True random number generator
940
      TRNG
941
      0xFFFFFFB8
942
 
943
      
944
        0
945
        0x04
946
        registers
947
      
948
 
949
      
950
        
951
          CTRL
952
          Control and data register
953
          0x00
954
          
955
            
956
              TRNG_CTRL_DATA
957
              [7:0]
958
              read-only
959
              Random data
960
            
961
            
962
              TRNG_CTRL_EN
963
              [30:30]
964
              TRNG enable flag
965
            
966
            
967
              TRNG_CTRL_VALID
968
              [31:31]
969
              read-only
970
              Random data output valid
971
            
972
          
973
        
974
      
975
    
976
 
977
    
978
    
979
      WDT
980
      Watchdog timer
981
      WDT
982
      0xFFFFFFBC
983
 
984
      WDT_FIRQ0
985
 
986
      
987
        0
988
        0x04
989
        registers
990
      
991
 
992
      
993
        
994
          CTRL
995
          Control register
996
          0x00
997
          
998
            
999
              WDT_CTRL_EN
1000
              [0:0]
1001
              WDT enable flag
1002
            
1003
            
1004
              WDT_CTRL_CLK_SEL
1005
              [3:1]
1006
              Clock prescaler select
1007
            
1008
            
1009
              WDT_CTRL_MODE
1010
              [4:4]
1011
              Watchdog mode: 0=timeout causes interrupt, 1=timeout causes processor reset
1012
            
1013
            
1014
              WDT_CTRL_RCAUSE
1015
              [5:5]
1016
              read-only
1017
              Cause of last system reset: 0=external reset, 1=watchdog
1018
            
1019
            
1020
              WDT_CTRL_RESET
1021
              [6:6]
1022
              Reset WDT counter when set, auto-clears
1023
            
1024
            
1025
              WDT_CTRL_FORCE
1026
              [7:7]
1027
              Force WDT action, auto-clears
1028
            
1029
            
1030
              WDT_CTRL_LOCK
1031
              [8:8]
1032
              Lock write access to control register, clears on reset (HW or WDT) only
1033
            
1034
            
1035
              WDT_CTRL_DBEN
1036
              [9:9]
1037
              Allow WDT to continue operation even when in debug mode
1038
            
1039
            
1040
              WDT_CTRL_HALF
1041
              [10:10]
1042
              read-only
1043
              Set if at least half of the max. timeout counter value has been reached
1044
            
1045
          
1046
        
1047
      
1048
    
1049
 
1050
    
1051
    
1052
      GPIO
1053
      General purpose input/output port
1054
      GPIO
1055
      0xFFFFFFc0
1056
 
1057
      
1058
        0
1059
        0x10
1060
        registers
1061
      
1062
 
1063
      
1064
        
1065
          INPUT_LO
1066
          Parallel input register - low
1067
          0x00
1068
          read-only
1069
        
1070
        
1071
          INPUT_HI
1072
          Parallel input register - high
1073
          0x04
1074
          read-only
1075
        
1076
        
1077
          OUTPUT_LO
1078
          Parallel output register - low
1079
          0x08
1080
        
1081
        
1082
          OUTPUT_HI
1083
          Parallel output register - high
1084
          0x0C
1085
        
1086
      
1087
    
1088
 
1089
    
1090
    
1091
      NEOLED
1092
      Smart LED hardware interface
1093
      NEOLED
1094
      0xFFFFFFD8
1095
 
1096
      NEOLED_FIRQ9
1097
 
1098
      
1099
        0
1100
        0x08
1101
        registers
1102
      
1103
 
1104
      
1105
        
1106
          CTRL
1107
          Control register
1108
          0x00
1109
          
1110
            
1111
              NEOLED_CTRL_EN
1112
              [0:0]
1113
              NEOLED enable flag
1114
            
1115
            
1116
              NEOLED_CTRL_MODE
1117
              [1:1]
1118
              TX mode (0=24-bit, 1=32-bit)
1119
            
1120
            
1121
              NEOLED_CTRL_STROBE
1122
              [2:2]
1123
              Strobe (0=send normal data, 1=send RESET command on data write)
1124
            
1125
            
1126
              NEOLED_CTRL_PRSC
1127
              [5:3]
1128
              Clock prescaler select
1129
            
1130
            
1131
              NEOLED_CTRL_BUFS
1132
              [9:6]
1133
              read-only
1134
              log2(tx buffer size)
1135
            
1136
            
1137
              NEOLED_CTRL_T_TOT
1138
              [14:10]
1139
              pulse-clock ticks per total period bit
1140
            
1141
            
1142
              NEOLED_CTRL_T_ZERO_H
1143
              [19:15]
1144
              pulse-clock ticks per ZERO high-time
1145
            
1146
            
1147
              NEOLED_CTRL_T_ONE_H
1148
              [24:20]
1149
              pulse-clock ticks per ONE high-time
1150
            
1151
            
1152
              NEOLED_CTRL_IRQ_CONF
1153
              [27:27]
1154
              TX FIFO interrupt: 0=IRQ if FIFO is less than half-full, 1=IRQ if FIFO is empty
1155
            
1156
            
1157
              NEOLED_CTRL_TX_EMPTY
1158
              [28:28]
1159
              read-only
1160
              TX FIFO is empty
1161
            
1162
            
1163
              NEOLED_CTRL_TX_HALF
1164
              [29:29]
1165
              read-only
1166
              TX FIFO is at least half-full
1167
            
1168
            
1169
              NEOLED_CTRL_TX_FULL
1170
              [30:30]
1171
              read-only
1172
              TX FIFO is full
1173
            
1174
            
1175
              NEOLED_CTRL_TX_BUSY
1176
              [31:31]
1177
              read-only
1178
              busy flag
1179
            
1180
          
1181
        
1182
        
1183
          DATA
1184
          Data register
1185
          0x04
1186
        
1187
      
1188
    
1189
 
1190
    
1191
    
1192
      SYSINFO
1193
      System configuration information memory
1194
      SYSINFO
1195
      0xFFFFFFE0
1196
 
1197
      
1198
        0
1199
        0x20
1200
        registers
1201
      
1202
 
1203
      
1204
        
1205
          CLK
1206
          Clock speed in Hz
1207
          0x00
1208
          read-only
1209
        
1210
        
1211
          SOC
1212
          SoC features
1213
          0x08
1214
          read-only
1215
          
1216
            SYSINFO_SOC_BOOTLOADER[0:0]Bootloader implemented
1217
            SYSINFO_SOC_MEM_EXT[1:1]External bus interface implemented
1218
            SYSINFO_SOC_MEM_INT_IMEM[2:2]Processor-internal instruction memory implemented
1219
            SYSINFO_SOC_MEM_INT_DMEM[3:3]Processor-internal data memory implemented
1220
            SYSINFO_SOC_MEM_EXT_ENDIAN[4:4]External bus interface uses BIG-endian byte-order
1221
            SYSINFO_SOC_ICACHE[5:5]Processor-internal instruction cache implemented
1222
            SYSINFO_SOC_IS_SIM[13:13]Set if processor is being simulated
1223
            SYSINFO_SOC_OCD[14:14]On-chip debugger implemented
1224
            SYSINFO_SOC_HW_RESET[15:15]Dedicated hardware reset of core registers implemented
1225
            SYSINFO_SOC_IO_GPIO[16:16]General purpose input/output port unit implemented
1226
            SYSINFO_SOC_IO_MTIME[17:17]Machine system timer implemented
1227
            SYSINFO_SOC_IO_UART0[18:18]Primary universal asynchronous receiver/transmitter 0 implemented
1228
            SYSINFO_SOC_IO_SPI[19:19]Serial peripheral interface implemented
1229
            SYSINFO_SOC_IO_TWI[20:20]Two-wire interface implemented
1230
            SYSINFO_SOC_IO_PWM[21:21]Pulse-width modulation unit implemented
1231
            SYSINFO_SOC_IO_WDT[22:22]Watchdog timer implemented
1232
            SYSINFO_SOC_IO_CFS[23:23]Custom functions subsystem implemented
1233
            SYSINFO_SOC_IO_TRNG[24:24]True random number generator implemented
1234
            SYSINFO_SOC_IO_SLINK[25:25]Stream link interface implemented
1235
            SYSINFO_SOC_IO_UART1[26:26]Secondary universal asynchronous receiver/transmitter 1 implemented
1236
            SYSINFO_SOC_IO_NEOLED[27:27]NeoPixel-compatible smart LED interface implemented
1237
            SYSINFO_SOC_IO_XIRQ[28:28]External interrupt controller implemented
1238
            SYSINFO_SOC_IO_GPTMR[29:29]General purpose timer implemented
1239 70 zero_gravi
            SYSINFO_SOC_IO_XIP[30:30]Execute in place module implemented
1240 69 zero_gravi
          
1241
        
1242
        
1243
          CACHE
1244
          Cache configuration
1245
          0x0C
1246
          read-only
1247
          
1248
            SYSINFO_CACHE_IC_BLOCK_SIZE[3:0]i-cache: log2(Block size in bytes)
1249
            SYSINFO_CACHE_IC_NUM_BLOCKS[7:4]i-cache: log2(Number of cache blocks/pages/lines)
1250
            SYSINFO_CACHE_IC_ASSOCIATIVITY[11:8]i-cache: log2(associativity)
1251
            SYSINFO_CACHE_IC_REPLACEMENT[15:12]i-cache: replacement policy (0001 = LRU if associativity > 0)
1252
          
1253
        
1254
        
1255
          ISPACE_BASE
1256
          Instruction memory address space base address
1257
          0x10
1258
          read-only
1259
        
1260
        
1261
          DSPACE_BASE
1262
          Data memory address space base address
1263
          0x14
1264
          read-only
1265
        
1266
        
1267
          IMEM_SIZE
1268
          Internal instruction memory (IMEM) size in bytes
1269
          0x18
1270
          read-only
1271
        
1272
        
1273
          DMEM_SIZE
1274
          Internal data memory (DMEM) size in bytes
1275
          0x1C
1276
          read-only
1277
        
1278
      
1279
    
1280
 
1281
  
1282

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.