OpenCores
URL https://opencores.org/ocsvn/neural_net_perceptron/neural_net_perceptron/trunk

Subversion Repositories neural_net_perceptron

[/] [neural_net_perceptron/] [trunk/] [sim/] [modelsim.ini] - Blame information for rev 16

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 fpga_is_fu
[Version]
2
INIVersion = "2021.1"
3
 
4
; Copyright 1991-2021 Mentor Graphics Corporation
5
;
6
; All Rights Reserved.
7
;
8
; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF
9
; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
10
;
11
 
12
[Library]
13
others = $MODEL_TECH/../modelsim.ini
14
;
15
; VITAL concerns:
16
;
17
; The library ieee contains (among other packages) the packages of the
18
; VITAL 2000 standard.  When a design uses VITAL 2000 exclusively, it should use
19
; the physical library ieee (recommended), or use the physical library
20
; vital2000, but not both.  The design can use logical library ieee and/or
21
; vital2000 as long as each of these maps to the same physical library, either
22
; ieee or vital2000.
23
;
24
; A design using the 1995 version of the VITAL packages, whether or not
25
; it also uses the 2000 version of the VITAL packages, must have logical library
26
; name ieee mapped to physical library vital1995.  (A design cannot use library
27
; vital1995 directly because some packages in this library use logical name ieee
28
; when referring to the other packages in the library.)  The design source
29
; should use logical name ieee when referring to any packages there except the
30
; VITAL 2000 packages.  Any VITAL 2000 present in the design must use logical
31
; name vital2000 (mapped to physical library vital2000) to refer to those
32
; packages.
33
; ieee = $MODEL_TECH/../vital1995
34
;
35
; For compatiblity with previous releases, logical library name vital2000 maps
36
; to library vital2000 (a different library than library ieee, containing the
37
; same packages).
38
; A design should not reference VITAL from both the ieee library and the
39
; vital2000 library because the vital packages are effectively different.
40
; A design that references both the ieee and vital2000 libraries must have
41
; both logical names ieee and vital2000 mapped to the same library, either of
42
; these:
43
;   $MODEL_TECH/../ieee
44
;   $MODEL_TECH/../vital2000
45
;
46
 
47
; added mapping for ADMS
48
 
49
;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers       // Source files only for this release
50
;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release
51
;mvc_lib = $MODEL_TECH/../mvc_lib
52
 
53
; Automatically perform logical->physical mapping for physical libraries that
54
; appear in -L/-Lf options with filesystem path delimiters (e.g. '.' or '/').
55
; The tail of the filesystem path name is chosen as the logical library name.
56
; For example, in the command "vopt -L ./path/to/lib1 -o opttop top",
57
; vopt automatically performs the mapping "lib1 -> ./path/to/lib1".
58
; See the User Manual for more details.
59
;
60
; AutoLibMapping = 0
61
 
62
work = ./work
63
[DefineOptionset]
64
; Define optionset entries for the various compilers, vmake, and vsim.
65
; These option sets can be used with the "-optionset " syntax.
66
; i.e.
67
;  vlog -optionset COMPILEDEBUG top.sv
68
;  vsim -optionset UVMDEBUG my_top
69
;
70
; Following are some useful examples.
71
 
72
; define a vsim optionset for uvm debugging
73
UVMDEBUG = -uvmcontrol=all -msgmode both -displaymsgmode both -classdebug -onfinish stop
74
 
75
; define a vopt optionset for debugging
76
VOPTDEBUG = +acc -debugdb
77
 
78
[encryption]
79
; For vencrypt and vhencrypt.
80
 
81
; Controls whether to encrypt whole files by ignoring all protect directives
82
; (except "viewport" and "interface_viewport") that are present in the input.
83
; The default is 0, use embedded protect directives to control the encryption.
84
; Set this to 1 to encrypt whole files by ignoring embedded protect directives.
85
; wholefile = 0
86
 
87
; Sets the data_method to use for the symmetric session key.
88
; The session key is a symmetric key that is randomly generated for each
89
; protected region (envelope) and is the heart of all encryption.  This is used
90
; to set the length of the session key to generate and use when encrypting the
91
; HDL text.  Supported values are aes128, aes192, and aes256.
92
; data_method = aes128
93
 
94
; The following 2 are for specifying an IEEE Std. 1735 Version 2 (V2) encryption
95
; "recipe" comprising an optional common block, at least one tool block (which
96
; contains the key public key), and the text to be encrypted.  The common block
97
; and any of the tool blocks may contain rights in the form of the "control"
98
; directive.  The text to be encrypted is specified either by setting
99
; "wholefile" to 1 or by embedding protect "begin" and "end" directives in
100
; the input HDL files.
101
 
102
; Common recipe specification file.  This file is optional.  Its presence will
103
; require at least one "toolblock" to be specified.
104
; Directives such as "author" "author_info" and "data_method",
105
; as well as the common block license specification, go in this file.
106
; common = 
107
 
108
; Tool block specification recipe(s).  Public key file with optional tool block
109
; file name.  May be multiply-defined; at least one tool block is required if
110
; a recipe is being specified.
111
; Key file is a file name with no extension (.deprecated or .active will be
112
; supplied by the encryption tool).
113
; Rights file name is optional.
114
; toolblock = [,]{:[,]}
115
 
116
; Location of directory containing recipe files.
117
; The default location is in the product installation directory.
118
; keyring = $MODEL_TECH/../keyring
119
 
120
; Enable encryption statistics. Specify one or more arguments:
121
;                   [all,none,time,cmd,msg,perf,verbose,list]
122
; Add '-' to disable specific statistics. Default is [cmd,msg].
123
Stats = cmd,msg
124
 
125
[vcom]
126
; VHDL93 variable selects language version as the default.
127
; Default is VHDL-2002.
128
; Value of 0 or 1987 for VHDL-1987.
129
; Value of 1 or 1993 for VHDL-1993.
130
; Default or value of 2 or 2002 for VHDL-2002.
131
; Value of 3 or 2008 for VHDL-2008
132
; Value of 4 or ams99 for VHDL-AMS-1999
133
; Value of 5 or ams07 for VHDL-AMS-2007
134
VHDL93 = 2002
135
 
136
; Ignore VHDL-2008 declaration of REAL_VECTOR in package STANDARD. Default is off.
137
; ignoreStandardRealVector = 1
138
 
139
; Show source line containing error. Default is off.
140
; Show_source = 1
141
 
142
; Turn off unbound-component warnings. Default is on.
143
; Show_Warning1 = 0
144
 
145
; Turn off process-without-a-wait-statement warnings. Default is on.
146
; Show_Warning2 = 0
147
 
148
; Turn off null-range warnings. Default is on.
149
; Show_Warning3 = 0
150
 
151
; Turn off no-space-in-time-literal warnings. Default is on.
152
; Show_Warning4 = 0
153
 
154
; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
155
; Show_Warning5 = 0
156
 
157
; Turn off optimization for IEEE std_logic_1164 package. Default is on.
158
; Optimize_1164 = 0
159
 
160
; Enable compiler statistics. Specify one or more arguments:
161
;                   [all,none,time,cmd,msg,perf,verbose,list]
162
; Add '-' to disable specific statistics. Default is [time,cmd,msg].
163
; Stats = time,cmd,msg
164
 
165
; Turn on resolving of ambiguous function overloading in favor of the
166
; "explicit" function declaration (not the one automatically created by
167
; the compiler for each type declaration). Default is off.
168
; The .ini file has Explicit enabled so that std_logic_signed/unsigned
169
; will match the behavior of synthesis tools.
170
Explicit = 1
171
 
172
; Turn off acceleration of the VITAL packages. Default is to accelerate.
173
; NoVital = 1
174
 
175
; Turn off VITAL compliance checking. Default is checking on.
176
; NoVitalCheck = 1
177
 
178
; Ignore VITAL compliance checking errors. Default is to not ignore.
179
; IgnoreVitalErrors = 1
180
 
181
; Turn off VITAL compliance checking warnings. Default is to show warnings.
182
; Show_VitalChecksWarnings = 0
183
 
184
; Turn off PSL assertion warning messages. Default is to show warnings.
185
; Show_PslChecksWarnings = 0
186
 
187
; Enable parsing of embedded PSL assertions. Default is enabled.
188
; EmbeddedPsl = 0
189
 
190
; Keep silent about case statement static warnings.
191
; Default is to give a warning.
192
; NoCaseStaticError = 1
193
 
194
; Keep silent about warnings caused by aggregates that are not locally static.
195
; Default is to give a warning.
196
; NoOthersStaticError = 1
197
 
198
; Treat as errors:
199
;   case statement static warnings
200
;   warnings caused by aggregates that are not locally static
201
; Overrides NoCaseStaticError, NoOthersStaticError settings.
202
; PedanticErrors = 1
203
 
204
; Turn off inclusion of debugging info within design units.
205
; Default is to include debugging info.
206
; NoDebug = 1
207
 
208
; Turn off "Loading..." messages. Default is messages on.
209
; Quiet = 1
210
 
211
; Turn on some limited synthesis rule compliance checking. Checks only:
212
;    -- signals used (read) by a process must be in the sensitivity list
213
; CheckSynthesis = 1
214
 
215
; Activate optimizations on expressions that do not involve signals,
216
; waits, or function/procedure/task invocations. Default is off.
217
; ScalarOpts = 1
218
 
219
; Turns on lint-style checking.
220
; Show_Lint = 1
221
 
222
; Require the user to specify a configuration for all bindings,
223
; and do not generate a compile time default binding for the
224
; component. This will result in an elaboration error of
225
; 'component not bound' if the user fails to do so. Avoids the rare
226
; issue of a false dependency upon the unused default binding.
227
; RequireConfigForAllDefaultBinding = 1
228
 
229
; Perform default binding at compile time.
230
; Default is to do default binding at load time.
231
; BindAtCompile = 1;
232
 
233
; Inhibit range checking on subscripts of arrays. Range checking on
234
; scalars defined with subtypes is inhibited by default.
235
; NoIndexCheck = 1
236
 
237
; Inhibit range checks on all (implicit and explicit) assignments to
238
; scalar objects defined with subtypes.
239
; NoRangeCheck = 1
240
 
241
; Set the prefix to be honored for synthesis/coverage pragma recognition.
242
; Default is "".
243
; AddPragmaPrefix = ""
244
 
245
; Ignore synthesis and coverage pragmas with this prefix.
246
; Default is "".
247
; IgnorePragmaPrefix = ""
248
 
249
; Turn on code coverage in VHDL design units. Default is off.
250
; Coverage = sbceft
251
 
252
; Turn off code coverage in VHDL subprograms. Default is on.
253
; CoverSub = 0
254
 
255
; Automatically exclude VHDL case statement OTHERS choice branches.
256
; This includes OTHERS choices in selected signal assigment statements.
257
; Default is to not exclude.
258
; CoverExcludeDefault = 1
259
 
260
; Control compiler and VOPT optimizations that are allowed when
261
; code coverage is on.  Refer to the comment for this in the [vlog] area.
262
; CoverOpt = 3
263
 
264
; Turn on or off clkOpt optimization for code coverage. Default is on.
265
; CoverClkOpt = 1
266
 
267
; Turn on or off clkOpt optimization builtins for code coverage. Default is on.
268
; CoverClkOptBuiltins = 0
269
 
270
; Inform code coverage optimizations to respect VHDL 'H' and 'L'
271
; values on signals in conditions and expressions, and to not automatically
272
; convert them to '1' and '0'. Default is to not convert.
273
; CoverRespectHandL = 0
274
 
275
; Increase or decrease the maximum number of rows allowed in a UDP table
276
; implementing a VHDL condition coverage or expression coverage expression.
277
; More rows leads to a longer compile time, but more expressions covered.
278
; CoverMaxUDPRows = 192
279
 
280
; Increase or decrease the maximum number of input patterns that are present
281
; in FEC table. This leads to a longer compile time with more expressions
282
; covered with FEC metric.
283
; CoverMaxFECRows = 192
284
 
285
; Increase or decrease the limit on the size of expressions and conditions
286
; considered for expression and condition coverages. Higher FecUdpEffort leads
287
; to higher compile, optimize and simulation time, but more expressions and
288
; conditions are considered for coverage in the design. FecUdpEffort can
289
; be set to a number ranging from 1 (low) to 3 (high), defined as:
290
;   1 - (low) Only small expressions and conditions considered for coverage.
291
;   2 - (medium) Bigger expressions and conditions considered for coverage.
292
;   3 - (high) Very large expressions and conditions considered for coverage.
293
; The default setting is 1 (low).
294
; FecUdpEffort = 1
295
 
296
; Enable or disable Focused Expression Coverage analysis for conditions and
297
; expressions. Focused Expression Coverage data is provided by default when
298
; expression and/or condition coverage is active.
299
; CoverFEC = 0
300
 
301
; Enable or disable UDP Coverage analysis for conditions and expressions.
302
; UDP Coverage data is disabled by default when expression and/or condition
303
; coverage is active.
304
; CoverUDP = 1
305
 
306
; Enable or disable Rapid Expression Coverage mode for conditions and expressions.
307
; Disabling this would convert non-masking conditions in FEC tables to matching
308
; input patterns.
309
; CoverREC = 1
310
 
311
; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions
312
; for expression/condition coverage.
313
; NOTE: Enabling this may have a negative impact on simulation performance.
314
; CoverExpandReductionPrefix = 0
315
 
316
; Enable or disable short circuit evaluation of conditions and expressions when
317
; condition or expression coverage is active. Short circuit evaluation is enabled
318
; by default.
319
; CoverShortCircuit = 0
320
 
321
; Enable code coverage reporting of code that has been optimized away.
322
; The default is not to report.
323
; CoverReportCancelled = 1
324
 
325
; Enable deglitching of code coverage in combinatorial, non-clocked, processes.
326
; Default is no deglitching.
327
; CoverDeglitchOn = 1
328
 
329
; Control the code coverage deglitching period. A period of 0, eliminates delta
330
; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a
331
; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
332
; CoverDeglitchPeriod = 0
333
 
334
; Use this directory for compiler temporary files instead of "work/_temp"
335
; CompilerTempDir = /tmp
336
 
337
; Set this to cause the compilers to force data to be committed to disk
338
; when the files are closed.
339
; SyncCompilerFiles = 1
340
 
341
; Add VHDL-AMS declarations to package STANDARD
342
; Default is not to add
343
; AmsStandard = 1
344
 
345
; Range and length checking will be performed on array indices and discrete
346
; ranges, and when violations are found within subprograms, errors will be
347
; reported. Default is to issue warnings for violations, because subprograms
348
; may not be invoked.
349
; NoDeferSubpgmCheck = 0
350
 
351
; Turn ON detection of FSMs having single bit current state variable.
352
; FsmSingle = 1
353
 
354
; Turn off reset state transitions in FSM.
355
; FsmResetTrans = 0
356
 
357
; Turn ON detection of FSM Implicit Transitions.
358
; FsmImplicitTrans = 1
359
 
360
; Controls whether or not to show immediate assertions with constant expressions
361
; in GUI/report/UCDB etc. By default, immediate assertions with constant
362
; expressions are shown in GUI/report/UCDB etc. This does not affect
363
; evaluation of immediate assertions.
364
; ShowConstantImmediateAsserts = 0
365
 
366
; Controls how VHDL basic identifiers are stored with the design unit.
367
; Does not make the language case-sensitive, affects only how declarations
368
; declared with basic identifiers have their names stored and printed
369
; (in the GUI, examine, etc.).
370
; Default is to preserve the case as originally depicted in the VHDL source.
371
; Value of 0 indicates to change all basic identifiers to lower case.
372
; PreserveCase = 0
373
 
374
; For Configuration Declarations, controls the effect that USE clauses have
375
; on visibility inside the configuration items being configured.  If 1
376
; (the default), then use pre-10.0 behavior. If 0, then for stricter LRM-compliance,
377
; extend the visibility of objects made visible through USE clauses into nested
378
; component configurations.
379
; OldVHDLConfigurationVisibility = 0
380
 
381
; Allows VHDL configuration declarations to be in a different library from
382
; the corresponding configured entity. Default is to not allow this for
383
; stricter LRM-compliance.
384
; SeparateConfigLibrary = 1;
385
 
386
; Determine how mode OUT subprogram parameters of type array and record are treated.
387
; If 0 (the default), then only VHDL 2008 will do this initialization.
388
; If 1, always initialize the mode OUT parameter to its default value.
389
; If 2, do not initialize the mode OUT out parameter.
390
; Note that prior to release 10.1, all language versions did not initialize mode
391
; OUT array and record type parameters, unless overridden here via this mechanism.
392
; In release 10.1 and later, only files compiled with VHDL 2008 will cause this
393
; initialization, unless overridden here.
394
; InitOutCompositeParam = 0
395
 
396
; Generate symbols debugging database in only some special cases to save on
397
; the number of files in the library. For other design-units, this database is
398
; generated on-demand in vsim.
399
; Default is to to generate debugging database for all design-units.
400
; SmartDbgSym = 1
401
 
402
; Enable or disable automatic creation of missing libraries.
403
; Default is 1 (enabled)
404
; CreateLib = 1
405
 
406
; Describe compilation options according to matching file patterns.
407
; File pattern * matches all printing characters other than '/'.
408
; File pattern **/x matches all paths containing file/directory x.
409
; File pattern x/** matches all paths beginning at directory x.
410
; FileOptMap = (**/*.vhd => -2008);
411
 
412
; Describe library targets of compilation according to matching file patterns.
413
; LibMap = (**/*.vhd => work);
414
 
415
[vlog]
416
; Turn off inclusion of debugging info within design units.
417
; Default is to include debugging info.
418
; NoDebug = 1
419
 
420
; Turn off "Loading..." messages. Default is messages on.
421
; Quiet = 1
422
 
423
; Turn on Verilog hazard checking (order-dependent accessing of global vars).
424
; Default is off.
425
; Hazard = 1
426
 
427
; Turn on converting regular Verilog identifiers to uppercase. Allows case
428
; insensitivity for module names. Default is no conversion.
429
; UpCase = 1
430
 
431
; Activate optimizations on expressions that do not involve signals,
432
; waits, or function/procedure/task invocations. Default is off.
433
; ScalarOpts = 1
434
 
435
; Turns on lint-style checking.
436
; Show_Lint = 1
437
 
438
; Show source line containing error. Default is off.
439
; Show_source = 1
440
 
441
; Turn on bad option warning. Default is off.
442
; Show_BadOptionWarning = 1
443
 
444
; Revert back to IEEE 1364-1995 syntax, default is 0 (off).
445
; vlog95compat = 1
446
 
447
; Turn off PSL warning messages. Default is to show warnings.
448
; Show_PslChecksWarnings = 0
449
 
450
; Enable parsing of embedded PSL assertions. Default is enabled.
451
; EmbeddedPsl = 0
452
 
453
; Enable compiler statistics. Specify one or more arguments:
454
;                   [all,none,time,cmd,msg,perf,verbose,list,kb]
455
; Add '-' to disable specific statistics. Default is [time,cmd,msg].
456
; Stats = time,cmd,msg
457
 
458
; Set the threshold for automatically identifying sparse Verilog memories.
459
; A memory with total size in bytes equal to or more than the sparse memory
460
; threshold gets marked as sparse automatically, unless specified otherwise
461
; in source code or by the +nosparse commandline option of vlog or vopt.
462
; The default is 1M.  (i.e. memories with total size equal
463
; to or greater than 1Mb are marked as sparse)
464
; SparseMemThreshold = 1048576
465
 
466
; Set the prefix to be honored for synthesis and coverage pragma recognition.
467
; Default is "".
468
; AddPragmaPrefix = ""
469
 
470
; Ignore synthesis and coverage pragmas with this prefix.
471
; Default is "".
472
; IgnorePragmaPrefix = ""
473
 
474
; Set the option to treat all files specified in a vlog invocation as a
475
; single compilation unit. The default value is set to 0 which will treat
476
; each file as a separate compilation unit as specified in the P1800 draft standard.
477
; MultiFileCompilationUnit = 1
478
 
479
; Turn on code coverage in Verilog design units. Default is off.
480
; Coverage = sbceft
481
 
482
; Automatically exclude Verilog case statement default branches.
483
; Default is to not automatically exclude defaults.
484
; CoverExcludeDefault = 1
485
 
486
; Increase or decrease the maximum number of rows allowed in a UDP table
487
; implementing a VHDL condition coverage or expression coverage expression.
488
; More rows leads to a longer compile time, but more expressions covered.
489
; CoverMaxUDPRows = 192
490
 
491
; Increase or decrease the maximum number of input patterns that are present
492
; in FEC table. This leads to a longer compile time with more expressions
493
; covered with FEC metric.
494
; CoverMaxFECRows = 192
495
 
496
; Enable Multi Bit Expression Coverage in a Design, If design has expression with
497
; multi bit operands, this option enables its Expression Coverage.
498
; The default value is 0.
499
; CoverFecMultiBit = 1
500
 
501
; Increase or decrease the limit on the size of expressions and conditions
502
; considered for expression and condition coverages. Higher FecUdpEffort leads
503
; to higher compile, optimize and simulation time, but more expressions and
504
; conditions are considered for coverage in the design. FecUdpEffort can
505
; be set to a number ranging from 1 (low) to 3 (high), defined as:
506
;   1 - (low) Only small expressions and conditions considered for coverage.
507
;   2 - (medium) Bigger expressions and conditions considered for coverage.
508
;   3 - (high) Very large expressions and conditions considered for coverage.
509
; The default setting is 1 (low).
510
; FecUdpEffort = 1
511
 
512
; Enable or disable Focused Expression Coverage analysis for conditions and
513
; expressions. Focused Expression Coverage data is provided by default when
514
; expression and/or condition coverage is active.
515
; CoverFEC = 0
516
 
517
; Enable or disable UDP Coverage analysis for conditions and expressions.
518
; UDP Coverage data is disabled by default when expression and/or condition
519
; coverage is active.
520
; CoverUDP = 1
521
 
522
; Enable or disable Rapid Expression Coverage mode for conditions and expressions.
523
; Disabling this would convert non-masking conditions in FEC tables to matching
524
; input patterns.
525
; CoverREC = 1
526
 
527
; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions
528
; for expression/condition coverage.
529
; NOTE: Enabling this may have a negative impact on simulation performance.
530
; CoverExpandReductionPrefix = 0
531
 
532
; Enable or disable short circuit evaluation of conditions and expressions when
533
; condition or expression coverage is active. Short circuit evaluation is enabled
534
; by default.
535
; CoverShortCircuit = 0
536
 
537
; Enable deglitching of code coverage in combinatorial, non-clocked, processes.
538
; Default is no deglitching.
539
; CoverDeglitchOn = 1
540
 
541
; Control the code coverage deglitching period. A period of 0, eliminates delta
542
; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a
543
; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
544
; CoverDeglitchPeriod = 0
545
 
546
; Turn on code coverage in VLOG `celldefine modules, modules containing
547
; specify blocks, and modules included using vlog -v and -y. Default is off.
548
; CoverCells = 1
549
 
550
; Enable code coverage reporting of code that has been optimized away.
551
; The default is not to report.
552
; CoverReportCancelled = 1
553
 
554
; Control compiler and VOPT optimizations that are allowed when
555
; code coverage is on. This is a number from 0 to 5, with the following
556
; meanings (the default is 3):
557
;    5 -- All allowable optimizations are on.
558
;    4 -- Turn off removing unreferenced code.
559
;    3 -- Turn off process, always block and if statement merging.
560
;    2 -- Turn off expression optimization, converting primitives
561
;         to continuous assignments, VHDL subprogram inlining.
562
;         and VHDL clkOpt (converting FF's to builtins).
563
;    1 -- Turn off continuous assignment optimizations and clock suppression.
564
;    0 -- Turn off Verilog module inlining and VHDL arch inlining.
565
; HOWEVER, if fsm coverage is turned on, optimizations will be forced to
566
; level 3, with also turning off converting primitives to continuous assigns.
567
; CoverOpt = 3
568
 
569
; Specify the override for the default value of "cross_num_print_missing"
570
; option for the Cross in Covergroups. If not specified then LRM default
571
; value of 0 (zero) is used. This is a compile time option.
572
; SVCrossNumPrintMissingDefault = 0
573
 
574
; Setting following to 1 would cause creation of variables which
575
; would represent the value of Coverpoint expressions. This is used
576
; in conjunction with "SVCoverpointExprVariablePrefix" option
577
; in the modelsim.ini
578
; EnableSVCoverpointExprVariable = 0
579
 
580
; Specify the override for the prefix used in forming the variable names
581
; which represent the Coverpoint expressions. This is used in conjunction with
582
; "EnableSVCoverpointExprVariable" option of the modelsim.ini
583
; The default prefix is "expr".
584
; The variable name is
585
;    variable name => _
586
; SVCoverpointExprVariablePrefix = expr
587
 
588
; Override for the default value of the SystemVerilog covergroup,
589
; coverpoint, and cross option.goal (defined to be 100 in the LRM).
590
; NOTE: It does not override specific assignments in SystemVerilog
591
; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal"
592
; in the [vsim] section can override this value.
593
; SVCovergroupGoalDefault = 100
594
 
595
; Override for the default value of the SystemVerilog covergroup,
596
; coverpoint, and cross type_option.goal (defined to be 100 in the LRM)
597
; NOTE: It does not override specific assignments in SystemVerilog
598
; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal"
599
; in the [vsim] section can override this value.
600
; SVCovergroupTypeGoalDefault = 100
601
 
602
; Specify the override for the default value of "strobe" option for the
603
; Covergroup Type. This is a compile time option which forces "strobe" to
604
; a user specified default value and supersedes SystemVerilog specified
605
; default value of '0'(zero). NOTE: This can be overriden by a runtime
606
; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section.
607
; SVCovergroupStrobeDefault = 0
608
 
609
; Specify the override for the default value of "per_instance" option for the
610
; Covergroup variables. This is a compile time option which forces "per_instance"
611
; to a user specified default value and supersedes SystemVerilog specified
612
; default value of '0'(zero).
613
; SVCovergroupPerInstanceDefault = 0
614
 
615
; Specify the override for the default value of "get_inst_coverage" option for the
616
; Covergroup variables. This is a compile time option which forces
617
; "get_inst_coverage" to a user specified default value and supersedes
618
; SystemVerilog specified default value of '0'(zero).
619
; SVCovergroupGetInstCoverageDefault = 0
620
 
621
;
622
; A space separated list of resource libraries that contain precompiled
623
; packages.  The behavior is identical to using the "-L" switch.
624
;
625
; LibrarySearchPath =  [ ...]
626
LibrarySearchPath = mtiAvm mtiRnm mtiOvm mtiUvm mtiUPF infact
627
 
628
; The behavior is identical to the "-mixedansiports" switch.  Default is off.
629
; MixedAnsiPorts = 1
630
 
631
; Enable SystemVerilog 3.1a $typeof() function. Default is off.
632
; EnableTypeOf = 1
633
 
634
; Only allow lower case pragmas. Default is disabled.
635
; AcceptLowerCasePragmaOnly = 1
636
 
637
; Set the maximum depth permitted for a recursive include file nesting.
638
; IncludeRecursionDepthMax = 5
639
 
640
; Turn ON detection of FSMs having single bit current state variable.
641
; FsmSingle = 1
642
 
643
; Turn off reset state transitions in FSM.
644
; FsmResetTrans = 0
645
 
646
; Turn off detections of FSMs having x-assignment.
647
; FsmXAssign = 0
648
 
649
; Turn ON detection of FSM Implicit Transitions.
650
; FsmImplicitTrans = 1
651
 
652
; List of file suffixes which will be read as SystemVerilog.  White space
653
; in extensions can be specified with a back-slash: "\ ".  Back-slashes
654
; can be specified with two consecutive back-slashes: "\\";
655
; SvFileSuffixes = sv svp svh
656
 
657
; This setting is the same as the vlog -sv command line switch.
658
; Enables SystemVerilog features and keywords when true (1).
659
; When false (0), the rules of IEEE Std 1364-2005 are followed and
660
; SystemVerilog keywords are ignored.
661
; Svlog = 0
662
 
663
; Prints attribute placed upon SV packages during package import
664
; when true (1).  The attribute will be ignored when this
665
; entry is false (0). The attribute name is "mti_design_element_load_message".
666
; The value of this attribute is a string literal.
667
; Default is true (1).
668
; PrintSVPackageLoadingAttribute = 1
669
 
670
; Do not show immediate assertions with constant expressions in
671
; GUI/reports/UCDB etc. By default immediate assertions with constant
672
; expressions are shown in GUI/reports/UCDB etc. This does not affect
673
; evaluation of immediate assertions.
674
; ShowConstantImmediateAsserts = 0
675
 
676
; Controls if untyped parameters that are initialized with values greater
677
; than 2147483647 are mapped to generics of type INTEGER or ignored.
678
; If mapped to VHDL Integers, values greater than 2147483647
679
; are mapped to negative values.
680
; Default is to map these parameter to generic of type INTEGER
681
; ForceUnsignedToVHDLInteger = 1
682
 
683
; Enable AMS wreal (wired real) extensions.  Default is 0.
684
; WrealType = 1
685
 
686
; Controls SystemVerilog Language Extensions.  These options enable
687
; some non-LRM compliant behavior.
688
; SvExtensions = [+|-][,[+|-]*]
689
 
690
; Generate symbols debugging database in only some special cases to save on
691
; the number of files in the library. For other design-units, this database is
692
; generated on-demand in vsim.
693
; Default is to to generate debugging database for all design-units.
694
; SmartDbgSym = 1
695
 
696
; Controls how $unit library entries are named.  Valid options are:
697
; "file" (generate name based on the first file on the command line)
698
; "du" (generate name based on first design unit following an item
699
; found in $unit scope)
700
; CUAutoName = file
701
 
702
; Enable or disable automatic creation of missing libraries.
703
; Default is 1 (enabled)
704
; CreateLib = 1
705
 
706
[sccom]
707
; Enable use of SCV include files and library.  Default is off.
708
; UseScv = 1
709
 
710
; Add C++ compiler options to the sccom command line by using this variable.
711
; CppOptions = -g
712
 
713
; Use custom C++ compiler located at this path rather than the default path.
714
; The path should point directly at a compiler executable.
715
; CppPath = /usr/bin/g++
716
 
717
; Specify the compiler version from the list of support GNU compilers.
718
; examples 4.7.4, 5.3.0, 7.4.0
719
; CppInstall = 7.4.0
720
 
721
; Enable verbose messages from sccom.  Default is off.
722
; SccomVerbose = 1
723
 
724
; sccom logfile.  Default is no logfile.
725
; SccomLogfile = sccom.log
726
 
727
; Enable use of SC_MS include files and library.  Default is off.
728
; UseScMs = 1
729
 
730
; Use SystemC-2.2 instead of the default SystemC-2.3. Default is off.
731
; Sc22Mode = 1
732
 
733
; Enable compiler statistics. Specify one or more arguments:
734
;                   [all,none,time,cmd,msg,perf,verbose,list,kb]
735
; Add '-' to disable specific statistics. Default is [time,cmd,msg].
736
; Stats = time,cmd,msg
737
 
738
; Enable or disable automatic creation of missing libraries.
739
; Default is 1 (enabled)
740
; CreateLib = 1
741
 
742
; Enable use of UVMC library.  Default is off.
743
; UseUvmc = 1
744
 
745
[vopt]
746
; Turn on code coverage in vopt.  Default is off.
747
; Coverage = sbceft
748
 
749
; enable or disable param saving in UCDB.
750
; CoverageSaveParam = 0
751
 
752
; Control compiler optimizations that are allowed when
753
; code coverage is on.  Refer to the comment for this in the [vlog] area.
754
; CoverOpt = 3
755
 
756
; Controls set of CoverConstructs that are being considered for Coverage
757
; Collection.
758
; Some of Valid options are: default,set1,set2
759
; Covermode = default
760
 
761
; Override all PA VOPT and VSIM commands to run simulation in Non-PA mode.
762
; NonPAmode = 1
763
 
764
; Controls set of HDL cover constructs that would be considered(or not considered)
765
; for Coverage Collection. (Default corresponds to covermode default).
766
; Some of Valid options are: "ca", "citf", "cifl", "tcint", "fsmqs".
767
; Coverconstruct = noca,nocitf,nofsmtf,nofsmds,noctes,nocicl,nocprc,nocfl,nofsmup,nocifl,nocpm,notcint,nocpkg,nocsva
768
 
769
; Increase or decrease the maximum number of rows allowed in a UDP table
770
; implementing a VHDL condition coverage or expression coverage expression.
771
; More rows leads to a longer compile time, but more expressions covered.
772
; CoverMaxUDPRows = 192
773
 
774
; Increase or decrease the maximum number of input patterns that are present
775
; in FEC table. This leads to a longer compile time with more expressions
776
; covered with FEC metric.
777
; CoverMaxFECRows = 192
778
 
779
; Enable Multi Bit Expression Coverage in a Design, If design has expression with
780
; multi bit operands, this option enables its Expression Coverage.
781
; The default value is 0.
782
; CoverFecMultiBit = 1
783
 
784
; Increase or decrease the limit on the size of expressions and conditions
785
; considered for expression and condition coverages. Higher FecUdpEffort leads
786
; to higher compile, optimize and simulation time, but more expressions and
787
; conditions are considered for coverage in the design. FecUdpEffort can
788
; be set to a number ranging from 1 (low) to 3 (high), defined as:
789
;   1 - (low) Only small expressions and conditions considered for coverage.
790
;   2 - (medium) Bigger expressions and conditions considered for coverage.
791
;   3 - (high) Very large expressions and conditions considered for coverage.
792
; The default setting is 1 (low).
793
; FecUdpEffort = 1
794
 
795
; Enable code coverage reporting of code that has been optimized away.
796
; The default is not to report.
797
; CoverReportCancelled = 1
798
 
799
; Enable deglitching of code coverage in combinatorial, non-clocked, processes.
800
; Default is no deglitching.
801
; CoverDeglitchOn = 1
802
 
803
; Enable compiler statistics. Specify one or more arguments:
804
;                   [all,none,time,cmd,msg,perf,verbose,list,kb]
805
; Add '-' to disable specific statistics. Default is [time,cmd,msg].
806
; Stats = time,cmd,msg
807
 
808
; Control the code coverage deglitching period. A period of 0, eliminates delta
809
; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a
810
; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
811
; CoverDeglitchPeriod = 0
812
 
813
; Do not show immediate assertions with constant expressions in
814
; GUI/reports/UCDB etc. By default immediate assertions with constant
815
; expressions are shown in GUI/reports/UCDB etc. This does not affect
816
; evaluation of immediate assertions.
817
; ShowConstantImmediateAsserts = 0
818
 
819
; Set the maximum number of iterations permitted for a generate loop.
820
; Restricting this permits the implementation to recognize infinite
821
; generate loops.
822
; GenerateLoopIterationMax = 100000
823
 
824
; Set the maximum depth permitted for a recursive generate instantiation.
825
; Restricting this permits the implementation to recognize infinite
826
; recursions.
827
; GenerateRecursionDepthMax = 200
828
 
829
; Set the number of processes created during the code generation phase.
830
; By default a heuristic is used to set this value.  This may be set to 0
831
; to disable this feature completely.
832
; ParallelJobs = 0
833
 
834
; Controls SystemVerilog Language Extensions.  These options enable
835
; some non-LRM compliant behavior.
836
; SvExtensions = [+|-][,[+|-]*]
837
 
838
; Load the specified shared objects with the RTLD_GLOBAL flag.
839
; This gives global visibility to all symbols in the shared objects,
840
; meaning that subsequently loaded shared objects can bind to symbols
841
; in the global shared objects.  The list of shared objects should
842
; be whitespace delimited.  This option is not supported on the
843
; Windows or AIX platforms.
844
; GlobalSharedObjectList = example1.so example2.so example3.so
845
 
846
; Disable SystemVerilog elaboration system task messages
847
; IgnoreSVAInfo = 1
848
; IgnoreSVAWarning = 1
849
; IgnoreSVAError = 1
850
; IgnoreSVAFatal = 1
851
 
852
; Enable or disable automatic creation of missing libraries.
853
; Default is 1 (enabled)
854
; CreateLib = 1
855
 
856
[vsim]
857
; vopt flow
858
; Set to turn on automatic optimization of a design.
859
; Default is on
860
VoptFlow = 1
861
 
862
; Simulator resolution
863
; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
864
Resolution = ns
865
 
866
; Disable certain code coverage exclusions automatically.
867
; Assertions and FSM are exluded from the code coverage by default
868
; Set AutoExclusionsDisable = fsm to enable code coverage for fsm
869
; Set AutoExclusionsDisable = assertions to enable code coverage for assertions
870
; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions
871
; Or specify comma or space separated list
872
;AutoExclusionsDisable = fsm,assertions
873
 
874
; User time unit for run commands
875
; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
876
; unit specified for Resolution. For example, if Resolution is 100ps,
877
; then UserTimeUnit defaults to ps.
878
; Should generally be set to default.
879
UserTimeUnit = default
880
 
881
; Default run length
882
RunLength = 100
883
 
884
; Maximum iterations that can be run without advancing simulation time
885
IterationLimit = 10000000
886
 
887
; Specify libraries to be searched for precompiled modules
888
; LibrarySearchPath =  [ ...]
889
 
890
; Set XPROP assertion fail limit. Default is 5.
891
; Any positive integer, -1 for infinity.
892
; XpropAssertionLimit = 5
893
 
894
; Control PSL and Verilog Assume directives during simulation
895
; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts
896
; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts
897
; SimulateAssumeDirectives = 1
898
 
899
; Control the simulation of PSL and SVA
900
; These switches can be overridden by the vsim command line switches:
901
;    -psl, -nopsl, -sva, -nosva.
902
; Set SimulatePSL = 0 to disable PSL simulation
903
; Set SimulatePSL = 1 to enable PSL simulation (default)
904
; SimulatePSL = 1
905
; Set SimulateSVA = 0 to disable SVA simulation
906
; Set SimulateSVA = 1 to enable concurrent SVA simulation (default)
907
; SimulateSVA = 1
908
 
909
; Control SVA and VHDL immediate assertion directives during simulation
910
; Set SimulateImmedAsserts = 0 to disable simulation of immediate asserts
911
; Set SimulateImmedAsserts = 1 to enable simulation of immediate asserts
912
; SimulateImmedAsserts = 1
913
 
914
; License feature mappings for Verilog and VHDL
915
; qhsimvh       Single language VHDL license
916
; qhsimvl       Single language Verilog license
917
; msimhdlsim    Language neutral license for either Verilog or VHDL
918
; msimhdlmix    Second language only, language neutral license for either
919
;               Verilog or VHDL
920
;
921
; Directives to license manager can be set either as single value or as
922
; space separated multi-values:
923
; vhdl          Immediately checkout and hold a VHDL license (i.e., one of
924
;               qhsimvh, msimhdlsim, or msimhdlmix)
925
; vlog          Immediately checkout and hold a Verilog license (i.e., one of
926
;               qhsimvl, msimhdlsim, or msimhdlmix)
927
; plus          Immediately checkout and hold a VHDL license and a Verilog license
928
; noqueue       Do not wait in the license queue when a license is not available
929
; viewsim       Try for viewer license but accept simulator license(s) instead
930
;               of queuing for viewer license (PE ONLY)
931
; noviewer      Disable checkout of msimviewer license feature (PE ONLY)
932
; noslvhdl      Disable checkout of qhsimvh license feature
933
; noslvlog      Disable checkout of qhsimvl license feature
934
; nomix         Disable checkout of msimhdlmix license feature
935
; nolnl         Disable checkout of msimhdlsim license feature
936
; mixedonly     Disable checkout of qhsimvh and qhsimvl license features
937
; lnlonly       Disable checkout of qhsimvh,qhsimvl, and msimhdlmix license features
938
;
939
; Examples (remove ";" comment character to activate licensing directives):
940
; Single directive:
941
; License = plus
942
; Multi-directive (Note: space delimited directives):
943
; License = noqueue plus
944
 
945
; Severity level of a VHDL assertion message or of a SystemVerilog severity system task
946
; which will cause a running simulation to stop.
947
; VHDL assertions and SystemVerilog severity system task that occur with the
948
; given severity or higher will cause a running simulation to stop.
949
; This value is ignored during elaboration.
950
; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
951
BreakOnAssertion = 3
952
 
953
; Severity level of a tool message which will cause a running simulation to
954
; stop. This value is ignored during elaboration. Default is to not break.
955
; 0 = Note  1 = Warning  2 = Error  3 = Fatal
956
;BreakOnMessage = 2
957
 
958
; The class debug feature enables more visibility and tracking of class instances
959
; during simulation.  By default this feature is disabled (0).  To enable this
960
; feature set ClassDebug to 1.
961
; ClassDebug = 1
962
 
963
; Message Format conversion specifications:
964
; %S - Severity Level of message/assertion
965
; %R - Text of message
966
; %T - Time of message
967
; %D - Delta value (iteration number) of Time
968
; %K - Kind of path: Instance/Region/Signal/Process/Foreign Process/Unknown/Protected
969
; %i - Instance/Region/Signal pathname with Process name (if available)
970
; %I - shorthand for one of these:
971
;      "  %K: %i"
972
;      "  %K: %i File: %F" (when path is not Process or Signal)
973
;      except that the %i in this case does not report the Process name
974
; %O - Process name
975
; %P - Instance/Region path without leaf process
976
; %F - File name
977
; %L - Line number; if assertion message, then line number of assertion or, if
978
;      assertion is in a subprogram, line from which the call is made
979
; %u - Design unit name in form library.primary
980
; %U - Design unit name in form library.primary(secondary)
981
; %% - The '%' character itself
982
;
983
; If specific format for Severity Level is defined, use that format.
984
; Else, for a message that occurs during elaboration:
985
;   -- Failure/Fatal message in VHDL region that is not a Process, and in
986
;      certain non-VHDL regions, uses MessageFormatBreakLine;
987
;   -- Failure/Fatal message otherwise uses MessageFormatBreak;
988
;   -- Note/Warning/Error message uses MessageFormat.
989
; Else, for a message that occurs during runtime and triggers a breakpoint because
990
; of the BreakOnAssertion setting:
991
;   -- if in a VHDL region that is not a Process, uses MessageFormatBreakLine;
992
;   -- otherwise uses MessageFormatBreak.
993
; Else (a runtime message that does not trigger a breakpoint) uses MessageFormat.
994
;
995
; MessageFormatNote      = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
996
; MessageFormatWarning   = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
997
; MessageFormatError     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
998
; MessageFormatFail      = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
999
; MessageFormatFatal     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
1000
; MessageFormatBreakLine = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F Line: %L\n"
1001
; MessageFormatBreak     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
1002
; MessageFormat          = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
1003
 
1004
; Error File - alternate file for storing error messages
1005
; ErrorFile = error.log
1006
 
1007
; Simulation Breakpoint messages
1008
; This flag controls the display of function names when reporting the location
1009
; where the simulator stops because of a breakpoint or fatal error.
1010
; Example with function name:    # Break in Process ctr at counter.vhd line 44
1011
; Example without function name: # Break at counter.vhd line 44
1012
; Default value is 1.
1013
ShowFunctions = 1
1014
 
1015
; Default radix for all windows and commands.
1016
; Radix may be one of: symbolic, ascii, binary, octal, decimal, hex, unsigned
1017
; Flags may be one of: enumnumeric, showbase, wreal
1018
DefaultRadix = hexadecimal
1019
DefaultRadixFlags = showbase
1020
; Set to 1 for make the signal_force VHDL and Verilog functions use the
1021
; default radix when processing the force value. Prior to 10.2 signal_force
1022
; used the default radix, now it always uses symbolic unless value explicitly indicates base
1023
;SignalForceFunctionUseDefaultRadix = 0
1024
 
1025
; VSIM Startup command
1026
; Startup = do startup.do
1027
 
1028
; VSIM Shutdown file
1029
; Filename to save u/i formats and configurations.
1030
; ShutdownFile = restart.do
1031
; To explicitly disable auto save:
1032
; ShutdownFile = --disable-auto-save
1033
 
1034
; Run simulator in batch mode as if -batch were specified on the command line if none of -c, -gui, or -i specified.
1035
; Simulator runs in interactive mode as if -i were specified if this option is 0. Default is 0.
1036
; BatchMode = 1
1037
 
1038
; File for saving command transcript when -batch option used
1039
; This option is ignored when -c, -gui, or -i options are used or if BatchMode above is zero
1040
; default is unset so command transcript only goes to stdout for better performance
1041
; BatchTranscriptFile = transcript
1042
 
1043
; File for saving command transcript, this option is ignored when -batch option is used
1044
TranscriptFile = transcript
1045
 
1046
; Transcript file long line wrapping mode(s)
1047
;   mode == 0 :: no wrapping, line recorded as is
1048
;   mode == 1 :: wrap at first whitespace after WSColumn
1049
;                or at Column.
1050
;   mode == 2 :: wrap as above, but add continuation
1051
;                character ('\') at end of each wrapped line
1052
;
1053
; WrapMode = 0
1054
; WrapColumn = 30000
1055
; WrapWSColumn = 27000
1056
 
1057
; File for saving command history
1058
; CommandHistory = cmdhist.log
1059
 
1060
; Specify whether paths in simulator commands should be described
1061
; in VHDL or Verilog format.
1062
; For VHDL, PathSeparator = /
1063
; For Verilog, PathSeparator = .
1064
; Must not be the same character as DatasetSeparator.
1065
PathSeparator = /
1066
 
1067
; Specify the dataset separator for fully rooted contexts.
1068
; The default is ':'. For example: sim:/top
1069
; Must not be the same character as PathSeparator.
1070
DatasetSeparator = :
1071
 
1072
; Specify a unique path separator for the Signal Spy set of functions.
1073
; The default will be to use the PathSeparator variable.
1074
; Must not be the same character as DatasetSeparator.
1075
; SignalSpyPathSeparator = /
1076
 
1077
; Used to control parsing of HDL identifiers input to the tool.
1078
; This includes CLI commands, vsim/vopt/vlog/vcom options,
1079
; string arguments to FLI/VPI/DPI calls, etc.
1080
; If set to 1, accept either Verilog escaped Id syntax or
1081
; VHDL extended id syntax, regardless of source language.
1082
; If set to 0, the syntax of the source language must be used.
1083
; Each identifier in a hierarchical name may need different syntax,
1084
; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or
1085
;       "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom"
1086
; GenerousIdentifierParsing = 1
1087
 
1088
; Disable VHDL assertion messages
1089
; IgnoreNote = 1
1090
; IgnoreWarning = 1
1091
; IgnoreError = 1
1092
; IgnoreFailure = 1
1093
 
1094
; Disable SystemVerilog assertion messages
1095
; IgnoreSVAInfo = 1
1096
; IgnoreSVAWarning = 1
1097
; IgnoreSVAError = 1
1098
; IgnoreSVAFatal = 1
1099
 
1100
; Do not print any additional information from Severity System tasks.
1101
; Only the message provided by the user is printed along with severity
1102
; information.
1103
; SVAPrintOnlyUserMessage = 1;
1104
 
1105
; Default force kind. May be freeze, drive, deposit, or default
1106
; or in other terms, fixed, wired, or charged.
1107
; A value of "default" will use the signal kind to determine the
1108
; force kind, drive for resolved signals, freeze for unresolved signals
1109
; DefaultForceKind = freeze
1110
 
1111
; Control the iteration of events when a VHDL signal is forced to a value
1112
; This flag can be set to honour the signal update event in next iteration,
1113
; the default is to update and propagate in the same iteration.
1114
; ForceSigNextIter = 1
1115
 
1116
; Enable simulation statistics. Specify one or more arguments:
1117
;                   [all,none,time,cmd,msg,perf,verbose,list,kb,eor]
1118
; Add '-' to disable specific statistics. Default is [time,cmd,msg].
1119
; Stats = time,cmd,msg
1120
 
1121
; If zero, open files when elaborated; otherwise, open files on
1122
; first read or write.  Default is 0.
1123
; DelayFileOpen = 1
1124
 
1125
; Control VHDL files opened for write.
1126
;   0 = Buffered, 1 = Unbuffered
1127
UnbufferedOutput = 0
1128
 
1129
; Control the number of VHDL files open concurrently.
1130
; This number should always be less than the current ulimit
1131
; setting for max file descriptors.
1132
;   0 = unlimited
1133
ConcurrentFileLimit = 40
1134
 
1135
; If nonzero, close files as soon as there is either an explicit call to
1136
; file_close, or when the file variable's scope is closed. When zero, a
1137
; file opened in append mode is not closed in case it is immediately
1138
; reopened in append mode; otherwise, the file will be closed at the
1139
; point it is reopened.
1140
; AppendClose = 1
1141
 
1142
; Control the number of hierarchical regions displayed as
1143
; part of a signal name shown in the Wave window.
1144
; A value of zero tells VSIM to display the full name.
1145
; The default is 0.
1146
; WaveSignalNameWidth = 0
1147
 
1148
; Turn off warnings when changing VHDL constants and generics
1149
; Default is 1 to generate warning messages
1150
; WarnConstantChange = 0
1151
 
1152
; Turn off warnings from accelerated versions of the std_logic_arith,
1153
; std_logic_unsigned, and std_logic_signed packages.
1154
; StdArithNoWarnings = 1
1155
 
1156
; Turn off warnings from accelerated versions of the IEEE numeric_std
1157
; and numeric_bit packages.
1158
; NumericStdNoWarnings = 1
1159
 
1160
; Use old-style (pre-6.6) VHDL FOR GENERATE statement iteration names
1161
; in the design hierarchy.
1162
; This style is controlled by the value of the GenerateFormat
1163
; value described next.  Default is to use new-style names, which
1164
; comprise the generate statement label, '(', the value of the generate
1165
; parameter, and a closing ')'.
1166
; Set this to 1 to use old-style names.
1167
; OldVhdlForGenNames = 1
1168
 
1169
; Control the format of the old-style VHDL FOR generate statement region
1170
; name for each iteration.  Do not quote the value.
1171
; The format string here must contain the conversion codes %s and %d,
1172
; in that order, and no other conversion codes.  The %s represents
1173
; the generate statement label; the %d represents the generate parameter value
1174
; at a particular iteration (this is the position number if the generate parameter
1175
; is of an enumeration type).  Embedded whitespace is allowed (but discouraged);
1176
; leading and trailing whitespace is ignored.
1177
; Application of the format must result in a unique region name over all
1178
; loop iterations for a particular immediately enclosing scope so that name
1179
; lookup can function properly.  The default is %s__%d.
1180
; GenerateFormat = %s__%d
1181
 
1182
; Enable more efficient logging of VHDL Variables.
1183
; Logging VHDL variables without this enabled, while possible, is very
1184
; inefficient.  Enabling this will provide a more efficient logging methodology
1185
; at the expense of more memory usage.  By default this feature is disabled (0).
1186
; To enabled this feature, set this variable to 1.
1187
; VhdlVariableLogging = 1
1188
 
1189
; Enable logging of VHDL access type variables and their designated objects.
1190
; This setting will allow both variables of an access type ("access variables")
1191
; and their designated objects ("access objects") to be logged.  Logging a
1192
; variable of an access type will automatically also cause the designated
1193
; object(s) of that variable to be logged as the simulation progresses.
1194
; Further, enabling this allows access objects to be logged by name.  By default
1195
; this feature is disabled (0).  To enable this feature, set this variable to 1.
1196
; Enabling this will automatically enable the VhdlVariableLogging feature also.
1197
; AccessObjDebug = 1
1198
 
1199
; Make each VHDL package in a PDU has its own separate copy of the package instead
1200
; of sharing the package between PDUs. The default is to share packages.
1201
; To ensure that each PDU has its own set of packages, set this variable to 1.
1202
; VhdlSeparatePduPackage = 1
1203
 
1204
; Specify whether checkpoint files should be compressed.
1205
; The default is 1 (compressed).
1206
; CheckpointCompressMode = 0
1207
 
1208
; Specify gcc compiler used in the compilation of automatically generated DPI exportwrapper.
1209
; Use custom gcc compiler located at this path rather than the default path.
1210
; The path should point directly at a compiler executable.
1211
; DpiCppPath = /bin/gcc
1212
;
1213
; Specify the compiler version from the list of support GNU compilers.
1214
; examples 4.7.4, 5.3.0, 7.4.0
1215
; DpiCppInstall = 7.4.0
1216
 
1217
; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls.
1218
; The term "out-of-the-blue" refers to SystemVerilog export function calls
1219
; made from C functions that don't have the proper context setup
1220
; (as is the case when running under "DPI-C" import functions).
1221
; When this is enabled, one can call a DPI export function
1222
; (but not task) from any C code.
1223
; the setting of this variable can be one of the following values:
1224
; 0 : dpioutoftheblue call is disabled (default)
1225
; 1 : dpioutoftheblue call is enabled, but export call debug support is not available.
1226
; 2 : dpioutoftheblue call is enabled, and limited export call debug support is available.
1227
; DpiOutOfTheBlue = 1
1228
 
1229
; Specify whether continuous assignments are run before other normal priority
1230
; processes scheduled in the same iteration. This event ordering minimizes race
1231
; differences between optimized and non-optimized designs, and is the default
1232
; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set
1233
; ImmediateContinuousAssign to 0.
1234
; The default is 1 (enabled).
1235
; ImmediateContinuousAssign = 0
1236
 
1237
; List of dynamically loaded objects for Verilog PLI applications
1238
; Veriuser = veriuser.sl
1239
 
1240
; Which default VPI object model should the tool conform to?
1241
; The 1364 modes are Verilog-only, for backwards compatibility with older
1242
; libraries, and SystemVerilog objects are not available in these modes.
1243
;
1244
; In the absence of a user-specified default, the tool default is the
1245
; latest available LRM behavior.
1246
; Options for PliCompatDefault are:
1247
;  VPI_COMPATIBILITY_VERSION_1364v1995
1248
;  VPI_COMPATIBILITY_VERSION_1364v2001
1249
;  VPI_COMPATIBILITY_VERSION_1364v2005
1250
;  VPI_COMPATIBILITY_VERSION_1800v2005
1251
;  VPI_COMPATIBILITY_VERSION_1800v2008
1252
;
1253
; Synonyms for each string are also recognized:
1254
;  VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995)
1255
;  VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001)
1256
;  VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005)
1257
;  VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005)
1258
;  VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008)
1259
 
1260
 
1261
; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005
1262
 
1263
; Specify whether the Verilog system task $fopen or vpi_mcd_open()
1264
; will create directories that do not exist when opening the file
1265
; in "a" or "w" mode.
1266
; The default is 0 (do not create non-existent directories)
1267
; CreateDirForFileAccess = 1
1268
 
1269
; Specify default options for the restart command. Options can be one
1270
; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions
1271
; DefaultRestartOptions = -force
1272
 
1273
 
1274
; Specify default UVM-aware debug options if the vsim -uvmcontrol switch is not used.
1275
; Valid options include: all, none, verbose, disable, struct, reseed, msglog, trlog, certe.
1276
; Options can be enabled by just adding the name, or disabled by prefixing the option with a "-".
1277
; The list of options must be delimited by commas, without spaces or tabs.
1278
;
1279
; Some examples
1280
; To turn on all available UVM-aware debug features:
1281
; UVMControl = all
1282
; To turn on the struct window, mesage logging, and transaction logging:
1283
; UVMControl = struct,msglog,trlog
1284
; To turn on all options except certe:
1285
; UVMControl = all,-certe
1286
; To completely disable all UVM-aware debug functionality:
1287
; UVMControl = disable
1288
 
1289
; Specify the WildcardFilter setting.
1290
; A space separated list of object types to be excluded when performing
1291
; wildcard matches with log, wave, etc commands.  The default value for this variable is:
1292
;   "Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile"
1293
; See "Using the WildcardFilter Preference Variable" in the documentation for
1294
; details on how to use this variable and for descriptions of the filter types.
1295
WildcardFilter = Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile
1296
 
1297
; Specify the WildcardSizeThreshold setting.
1298
; This integer setting specifies the size at which objects will be excluded when
1299
; performing wildcard matches with log, wave, etc commands.  Objects of size equal
1300
; to or greater than the WildcardSizeThreshold will be filtered out from the wildcard
1301
; matches.  The size is a simple calculation of number of bits or items in the object.
1302
; The default value is 8k (8192).  Setting this value to 0 will disable the checking
1303
; of object size against this threshold and allow all objects of any size to be logged.
1304
WildcardSizeThreshold = 8192
1305
 
1306
; Specify whether warning messages are output when objects are filtered out due to the
1307
; WildcardSizeThreshold.  The default is 0 (no messages generated).
1308
WildcardSizeThresholdVerbose = 0
1309
 
1310
; Turn on (1) or off (0) WLF file compression.
1311
; The default is 1 (compress WLF file).
1312
; WLFCompress = 0
1313
 
1314
; Specify whether to save all design hierarchy (1) in the WLF file
1315
; or only regions containing logged signals (0).
1316
; The default is 0 (save only regions with logged signals).
1317
; WLFSaveAllRegions = 1
1318
 
1319
; WLF file time limit.  Limit WLF file by time, as closely as possible,
1320
; to the specified amount of simulation time.  When the limit is exceeded
1321
; the earliest times get truncated from the file.
1322
; If both time and size limits are specified the most restrictive is used.
1323
; UserTimeUnits are used if time units are not specified.
1324
; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
1325
; WLFTimeLimit = 0
1326
 
1327
; WLF file size limit.  Limit WLF file size, as closely as possible,
1328
; to the specified number of megabytes.  If both time and size limits
1329
; are specified then the most restrictive is used.
1330
; The default is 0 (no limit).
1331
; WLFSizeLimit = 1000
1332
 
1333
; Specify whether or not a WLF file should be deleted when the
1334
; simulation ends.  A value of 1 will cause the WLF file to be deleted.
1335
; The default is 0 (do not delete WLF file when simulation ends).
1336
; WLFDeleteOnQuit = 1
1337
 
1338
; Specify whether or not a WLF file should be optimized during
1339
; simulation.  If set to 0, the WLF file will not be optimized.
1340
; The default is 1, optimize the WLF file.
1341
; WLFOptimize = 0
1342
 
1343
; Specify the name of the WLF file.
1344
; The default is vsim.wlf
1345
; WLFFilename = vsim.wlf
1346
 
1347
; Specify whether to lock the WLF file.
1348
; Locking the file prevents other invocations of ModelSim/Questa tools from
1349
; inadvertently overwriting the WLF file.
1350
; The default is 1, lock the WLF file.
1351
; WLFFileLock = 0
1352
 
1353
; Specify the update interval for the WLF file in live simulation.
1354
; The interval is given in seconds.
1355
; The value is the smallest interval between WLF file updates.  The WLF file
1356
; will be flushed (updated) after (at least) the interval has elapsed, ensuring
1357
; that the data is correct when viewed from a separate viewer.
1358
; A value of 0 means that no updating will occur.
1359
; The default value is 10 seconds.
1360
; WLFUpdateInterval = 10
1361
 
1362
; Specify the WLF cache size limit for WLF files.
1363
; The value is given in megabytes.  A value of 0 turns off the cache.
1364
; On non-Windows platforms the default WLFCacheSize setting is 2000 (megabytes).
1365
; On Windows, the default value is 1000 (megabytes) to help to avoid filling
1366
; process memory.
1367
; WLFSimCacheSize allows a different cache size to be set for a live simulation
1368
; WLF file, independent of post-simulation WLF file viewing.  If WLFSimCacheSize
1369
; is not set, it defaults to the WLFCacheSize value.
1370
; WLFCacheSize = 2000
1371
; WLFSimCacheSize = 500
1372
 
1373
; Specify the WLF file event collapse mode.
1374
; 0 = Preserve all events and event order. (same as -wlfnocollapse)
1375
; 1 = Only record values of logged objects at the end of a simulator iteration.
1376
;     (same as -wlfcollapsedelta)
1377
; 2 = Only record values of logged objects at the end of a simulator time step.
1378
;     (same as -wlfcollapsetime)
1379
; The default is 1.
1380
; WLFCollapseMode = 0
1381
 
1382
; Specify whether WLF file logging can use threads on multi-processor machines.
1383
; If 0, no threads will be used; if 1, threads will be used if the system has
1384
; more than one processor.
1385
; WLFUseThreads = 1
1386
 
1387
; Specify the size of objects that will trigger "large object" messages
1388
; at log/wave/list time.  The size calculation of the object is the same as that
1389
; used by the WildcardSizeThreshold. The default LargeObjectSize size is 500,000.
1390
; Setting LargeObjectSize to 0 will disable these messages.
1391
; LargeObjectSize = 500000
1392
 
1393
; Specify the depth of stack frames returned by $stacktrace([level]).
1394
; This depth will be picked up when the optional 'level' argument
1395
; is not specified or its value is not a positive integer.
1396
; StackTraceDepth = 100
1397
 
1398
; Turn on/off undebuggable SystemC type warnings. Default is on.
1399
; ShowUndebuggableScTypeWarning = 0
1400
 
1401
; Turn on/off unassociated SystemC name warnings. Default is off.
1402
; ShowUnassociatedScNameWarning = 1
1403
 
1404
; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off.
1405
; ScShowIeeeDeprecationWarnings = 1
1406
 
1407
; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off.
1408
; For SystemC-2.3.2 the valid values are 0,1 and 2
1409
;     0 = SC_SIGNAL_WRITE_CHECK_DISABLE_
1410
;     1 = SC_SIGNAL_WRITE_CHECK_DEFAULT_
1411
;     2 = SC_SIGNAL_WRITE_CHECK_CONFLICT_
1412
; For SystemC-2.2 the valid values are 0 and 1
1413
;     0 = DISABLE
1414
;     1 = ENABLE
1415
; ScEnableScSignalWriteCheck = 1
1416
 
1417
; Set SystemC default time unit.
1418
; Set to fs, ps, ns, us, ms, or sec with optional
1419
; prefix of 1, 10, or 100.  The default is 1 ns.
1420
; The ScTimeUnit value is honored if it is coarser than Resolution.
1421
; If ScTimeUnit is finer than Resolution, it is set to the value
1422
; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns,
1423
; then the default time unit will be 1 ns.  However if Resolution
1424
; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns.
1425
ScTimeUnit = ns
1426
 
1427
; Set SystemC sc_main stack size. The stack size is set as an integer
1428
; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or
1429
; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends
1430
; on the amount of data on the sc_main() stack and the memory required
1431
; to succesfully execute the longest function call chain of sc_main().
1432
ScMainStackSize = 10 Mb
1433
 
1434
; Set SystemC thread stack size. The stack size is set as an integer
1435
; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or
1436
; Gb(Giga-byte). The stack size for sc_thread depends
1437
; on the amount of data on the sc_thread stack and the memory required
1438
; to succesfully execute the thread.
1439
; ScStackSize = 1 Mb
1440
 
1441
; Turn on/off execution of remainder of sc_main upon quitting the current
1442
; simulation session. If the cumulative length of sc_main() in terms of
1443
; simulation time units is less than the length of the current simulation
1444
; run upon quit or restart, sc_main() will be in the middle of execution.
1445
; This switch gives the option to execute the remainder of sc_main upon
1446
; quitting simulation. The drawback of not running sc_main till the end
1447
; is memory leaks for objects created by sc_main. If on, the remainder of
1448
; sc_main will be executed ignoring all delays. This may cause the simulator
1449
; to crash if the code in sc_main is dependent on some simulation state.
1450
; Default is on.
1451
ScMainFinishOnQuit = 1
1452
 
1453
; Enable calling of the DPI export taks/functions from the
1454
; SystemC start_of_simulation() callback.
1455
; The default is off.
1456
; EnableDpiSosCb = 1
1457
 
1458
 
1459
; Set the SCV relationship name that will be used to identify phase
1460
; relations.  If the name given to a transactor relation matches this
1461
; name, the transactions involved will be treated as phase transactions
1462
ScvPhaseRelationName = mti_phase
1463
 
1464
; Customize the vsim kernel shutdown behavior at the end of the simulation.
1465
; Some common causes of the end of simulation are $finish (implicit or explicit),
1466
; sc_stop(), tf_dofinish(), and assertion failures.
1467
; This should be set to "ask", "exit", or "stop". The default is "ask".
1468
; "ask"   -- In batch mode, the vsim kernel will abruptly exit.
1469
;            In GUI mode, a dialog box will pop up and ask for user confirmation
1470
;            whether or not to quit the simulation.
1471
; "stop"  -- Cause the simulation to stay loaded in memory. This can make some
1472
;            post-simulation tasks easier.
1473
; "exit"  -- The simulation will abruptly exit without asking for any confirmation.
1474
; "final" -- Run SystemVerilog final blocks then behave as "stop".
1475
; Note: This variable can be overridden with the vsim "-onfinish" command line switch.
1476
OnFinish = ask
1477
 
1478
; Print pending deferred assertion messages.
1479
; Deferred assertion messages may be scheduled after the $finish in the same
1480
; time step. Deferred assertions scheduled to print after the $finish are
1481
; printed before exiting with severity level NOTE since it's not known whether
1482
; the assertion is still valid due to being printed in the active region
1483
; instead of the reactive region where they are normally printed.
1484
; OnFinishPendingAssert = 1;
1485
 
1486
; Print "simstats" result. Default is 0.
1487
; 0 == do not print simstats
1488
; 1 == print at end of simulation
1489
; 2 == print at end of each run command and end of simulation
1490
; PrintSimStats = 1
1491
 
1492
; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages
1493
; AssertFile = assert.log
1494
 
1495
; Enable assertion counts. Default is off.
1496
; AssertionCounts = 1
1497
 
1498
; Run simulator in assertion debug mode. Default is off.
1499
; AssertionDebug = 1
1500
 
1501
; Turn on/off PSL/SVA/VHDL assertion enable. Default is on.
1502
; AssertionEnable = 0
1503
 
1504
; Set PSL/SVA/VHDL concurrent assertion fail limit. Default is -1.
1505
; Any positive integer, -1 for infinity.
1506
; AssertionLimit = 1
1507
 
1508
; Turn on/off concurrent assertion pass log. Default is off.
1509
; Assertion pass logging is only enabled when assertion is browseable
1510
; and assertion debug is enabled.
1511
; AssertionPassLog = 1
1512
 
1513
; Turn on/off PSL concurrent assertion fail log. Default is on.
1514
; The flag does not affect SVA
1515
; AssertionFailLog = 0
1516
 
1517
; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode.  Default is on.
1518
; AssertionFailLocalVarLog = 0
1519
 
1520
; Set action type for PSL/SVA concurrent assertion fail action. Default is continue.
1521
; 0 = Continue  1 = Break  2 = Exit
1522
; AssertionFailAction = 1
1523
 
1524
; Enable the active thread monitor in the waveform display when assertion debug is enabled.
1525
; AssertionActiveThreadMonitor = 1
1526
 
1527
; Control how many waveform rows will be used for displaying the active threads.  Default is 5.
1528
; AssertionActiveThreadMonitorLimit = 5
1529
 
1530
; Assertion thread limit after which assertion would be killed/switched off.
1531
; The default is -1 (unlimited). If the number of threads for an assertion go
1532
; beyond this limit, the assertion would be either switched off or killed. This
1533
; limit applies to only assert directives.
1534
;AssertionThreadLimit = -1
1535
 
1536
; Action to be taken once the assertion thread limit is reached. Default
1537
; is kill. It can have a value of off or kill. In case of kill, all the existing
1538
; threads are terminated and no new attempts are started. In case of off, the
1539
; existing attempts keep on evaluating but no new attempts are started. This
1540
; variable applies to only assert directives.
1541
;AssertionThreadLimitAction = kill
1542
 
1543
; Cover thread limit after which cover would be killed/switched off.
1544
; The default is -1 (unlimited). If the number of threads for a cover go
1545
; beyond this limit, the cover would be either switched off or killed. This
1546
; limit applies to only cover directives.
1547
;CoverThreadLimit = -1
1548
 
1549
; Action to be taken once the cover thread limit is reached. Default
1550
; is kill. It can have a value of off or kill. In case of kill, all the existing
1551
; threads are terminated and no new attempts are started. In case of off, the
1552
; existing attempts keep on evaluating but no new attempts are started. This
1553
; variable applies to only cover directives.
1554
;CoverThreadLimitAction = kill
1555
 
1556
 
1557
; By default immediate assertions do not participate in Assertion Coverage calculations
1558
; unless they are executed.  This switch causes all immediate assertions in the design
1559
; to participate in Assertion Coverage calculations, whether attempted or not.
1560
; UnattemptedImmediateAssertions = 0
1561
 
1562
; By default immediate covers participate in Coverage calculations
1563
; whether they are attempted or not. This switch causes all unattempted
1564
; immediate covers in the design to stop participating in Coverage
1565
; calculations.
1566
; UnattemptedImmediateCovers = 0
1567
 
1568
; By default pass action block is not executed for assertions on vacuous
1569
; success. The following variable is provided to enable execution of
1570
; pass action block on vacuous success. The following variable is only effective
1571
; if the user does not disable pass action block execution by using either
1572
; system tasks or CLI. Also there is a performance penalty for enabling
1573
; the following variable.
1574
;AssertionEnableVacuousPassActionBlock = 1
1575
 
1576
; As per strict 1850-2005 PSL LRM, an always property can either pass
1577
; or fail. However, by default, Questa reports multiple passes and
1578
; multiple fails on top always/never property (always/never operator
1579
; is the top operator under Verification Directive). The reason
1580
; being that Questa reports passes and fails on per attempt of the
1581
; top always/never property. Use the following flag to instruct
1582
; Questa to strictly follow LRM. With this flag, all assert/never
1583
; directives will start an attempt once at start of simulation.
1584
; The attempt can either fail, match or match vacuously.
1585
; For e.g. if always is the top operator under assert, the always will
1586
; keep on checking the property at every clock. If the property under
1587
; always fails, the directive will be considered failed and no more
1588
; checking will be done for that directive. A top always property,
1589
; if it does not fail, will show a pass at end of simulation.
1590
; The default value is '0' (i.e. zero is off). For example:
1591
; PslOneAttempt = 1
1592
 
1593
; Specify the number of clock ticks to represent infinite clock ticks.
1594
; This affects eventually!, until! and until_!. If at End of Simulation
1595
; (EOS) an active strong-property has not clocked this number of
1596
; clock ticks then neither pass or fail (vacuous match) is returned
1597
; else respective fail/pass is returned. The default value is '0' (zero)
1598
; which effectively does not check for clock tick condition. For example:
1599
; PslInfinityThreshold = 5000
1600
 
1601
; Control how many thread start times will be preserved for ATV viewing for a given assertion
1602
; instance.  Default is -1 (ALL).
1603
; ATVStartTimeKeepCount = -1
1604
 
1605
; Turn on/off code coverage
1606
; CodeCoverage = 0
1607
 
1608
; This option applies to condition and expression coverage UDP tables. It
1609
; has no effect unless UDP is enabled for coverage with vcom/vlog/vopt -coverudp.
1610
; If this option is used and a match occurs in more than one row in the UDP table,
1611
; none of the counts for all matching rows is incremented. By default, counts are
1612
; incremented for all matching rows.
1613
; CoverCountAll = 1
1614
 
1615
; Turn off automatic inclusion of VHDL integers in toggle coverage. Default
1616
; is to include them.
1617
; ToggleNoIntegers = 1
1618
 
1619
; Set the maximum number of values that are collected for toggle coverage of
1620
; VHDL integers. Default is 100;
1621
; ToggleMaxIntValues = 100
1622
 
1623
; Set the maximum number of values that are collected for toggle coverage of
1624
; Verilog real. Default is 100;
1625
; ToggleMaxRealValues = 100
1626
 
1627
; Turn on automatic inclusion of Verilog integers in toggle coverage, except
1628
; for enumeration types. Default is to include them.
1629
; ToggleVlogIntegers = 0
1630
 
1631
; Turn on automatic inclusion of Verilog real type in toggle coverage, except
1632
; for shortreal types. Default is to not include them.
1633
; ToggleVlogReal = 1
1634
 
1635
; Turn on automatic inclusion of Verilog fixed-size unpacked arrays, VHDL multi-d arrays
1636
; and VHDL arrays-of-arrays in toggle coverage.
1637
; Default is to not include them.
1638
; ToggleFixedSizeArray = 1
1639
 
1640
; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays,
1641
; VHDL multi-d arrays and VHDL arrays-of-arrays that are included for toggle coverage.
1642
; This leads to a longer simulation time with bigger arrays covered with toggle coverage.
1643
; Default is 1024.
1644
; ToggleMaxFixedSizeArray = 1024
1645
 
1646
; Treat Verilog multi-dimensional packed vectors and packed structures as equivalently sized
1647
; one-dimensional packed vectors for toggle coverage. Default is 0.
1648
; TogglePackedAsVec = 0
1649
 
1650
; Treat Verilog enumerated types as equivalently sized one-dimensional packed vectors for
1651
; toggle coverage. Default is 0.
1652
; ToggleVlogEnumBits = 0
1653
 
1654
; Turn off automatic inclusion of VHDL records in toggle coverage.
1655
; Default is to include them.
1656
; ToggleVHDLRecords = 0
1657
 
1658
; Limit the widths of registers automatically tracked for toggle coverage. Default is 128.
1659
; For unlimited width, set to 0.
1660
; ToggleWidthLimit = 128
1661
 
1662
; Limit the counts that are tracked for toggle coverage. When all edges for a bit have
1663
; reached this count, further activity on the bit is ignored. Default is 1.
1664
; For unlimited counts, set to 0.
1665
; ToggleCountLimit = 1
1666
 
1667
; Change the mode of extended toggle coverage. Default is 3. Valid modes are 1, 2 and 3.
1668
; Following is the toggle coverage calculation criteria based on extended toggle mode:
1669
; Mode 1: 0L->1H & 1H->0L & any one 'Z' transition (to/from 'Z').
1670
; Mode 2: 0L->1H & 1H->0L & one transition to 'Z' & one transition from 'Z'.
1671
; Mode 3: 0L->1H & 1H->0L & all 'Z' transitions.
1672
; ExtendedToggleMode = 3
1673
 
1674
; Enable toggle statistics collection only for ports. Default is 0.
1675
; TogglePortsOnly = 1
1676
 
1677
; Limit the counts that are tracked for Focussed Expression Coverage. When a bin has
1678
; reached this count, further tracking of the input patterns linked to it is ignored.
1679
; Default is 1. For unlimited counts, set to 0.
1680
; NOTE: Changing this value from its default value may affect simulation performance.
1681
; FecCountLimit = 1
1682
 
1683
; Limit the counts that are tracked for UDP Coverage. When a bin has
1684
; reached this count, further tracking of the input patterns linked to it is ignored.
1685
; Default is 1. For unlimited counts, set to 0.
1686
; NOTE: Changing this value from its default value may affect simulation performance.
1687
; UdpCountLimit = 1
1688
 
1689
; Control toggle coverage deglitching period. A period of 0, eliminates delta
1690
; cycle glitches. This is the default. The value of ToggleDeglitchPeriod needs to be either
1691
; 0 or a time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
1692
; ToggleDeglitchPeriod = 10.0ps
1693
 
1694
; Turn on/off all PSL/SVA cover directive enables.  Default is on.
1695
; CoverEnable = 0
1696
 
1697
; Turn on/off PSL/SVA cover log.  Default is off "0".
1698
; CoverLog = 1
1699
 
1700
; Set "at_least" value for all PSL/SVA cover directives.  Default is 1.
1701
; CoverAtLeast = 2
1702
 
1703
; Set "limit" value for all PSL/SVA cover directives.  Default is -1.
1704
; Any positive integer, -1 for infinity.
1705
; CoverLimit = 1
1706
 
1707
; Specify the coverage database filename.
1708
; Default is "" (i.e. database is NOT automatically saved on close).
1709
; UCDBFilename = vsim.ucdb
1710
 
1711
; Specify the maximum limit for the number of Cross (bin) products reported
1712
; in XML and UCDB report against a Cross. A warning is issued if the limit
1713
; is crossed. Default is zero. vsim switch -cvgmaxrptrhscross can override this
1714
; setting.
1715
; MaxReportRhsSVCrossProducts = 1000
1716
 
1717
; Specify the override for the "auto_bin_max" option for the Covergroups.
1718
; If not specified then value from Covergroup "option" is used.
1719
; SVCoverpointAutoBinMax = 64
1720
 
1721
; Specify the override for the value of "cross_num_print_missing"
1722
; option for the Cross in Covergroups. If not specified then value
1723
; specified in the "option.cross_num_print_missing" is used. This
1724
; is a runtime option. NOTE: This overrides any "cross_num_print_missing"
1725
; value specified by user in source file and any SVCrossNumPrintMissingDefault
1726
; specified in modelsim.ini.
1727
; SVCrossNumPrintMissing = 0
1728
 
1729
; Specify whether to use the value of "cross_num_print_missing"
1730
; option in report and GUI for the Cross in Covergroups. If not specified then
1731
; cross_num_print_missing is ignored for creating reports and displaying
1732
; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing".
1733
; UseSVCrossNumPrintMissing = 0
1734
 
1735
; Specify the threshold of Coverpoint wildcard bin value range size, above which
1736
; a warning will be triggered. The default is 4K -- 12 wildcard bits.
1737
; SVCoverpointWildCardBinValueSizeWarn = 4096
1738
 
1739
; Specify the override for the value of "strobe" option for the
1740
; Covergroup Type. If not specified then value in "type_option.strobe"
1741
; will be used. This is runtime option which forces "strobe" to
1742
; user specified value and supersedes user specified values in the
1743
; SystemVerilog Code. NOTE: This also overrides the compile time
1744
; default value override specified using "SVCovergroupStrobeDefault"
1745
; SVCovergroupStrobe = 0
1746
 
1747
; Override for explicit assignments in source code to "option.goal" of
1748
; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
1749
; default value of "option.goal" (defined to be 100 in the SystemVerilog
1750
; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault".
1751
; SVCovergroupGoal = 100
1752
 
1753
; Override for explicit assignments in source code to "type_option.goal" of
1754
; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
1755
; default value of "type_option.goal" (defined to be 100 in the SystemVerilog
1756
; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault".
1757
; SVCovergroupTypeGoal = 100
1758
 
1759
; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage()
1760
; builtin functions, and report. This setting changes the default values of
1761
; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3
1762
; behavior if explicit assignments are not made on option.get_inst_coverage and
1763
; type_option.merge_instances by the user. There are two vsim command line
1764
; options, -cvg63 and -nocvg63 to override this setting from vsim command line.
1765
; The default value of this variable from release 6.6 onwards is 0. This default
1766
; drives compliance with the clarified behavior in the IEEE 1800-2009 standard.
1767
; SVCovergroup63Compatibility = 0
1768
 
1769
; Enforce the default behavior of covergroup get_coverage() builtin function, GUI
1770
; and report. This variable sets the default value of type_option.merge_instances.
1771
; There are two vsim command line options, -cvgmergeinstances and
1772
; -nocvgmergeinstances to override this setting from vsim command line.
1773
; The default value of this variable, -1 (don't care), allows the tool to determine
1774
; the effective value, based on factors related to capacity and optimization.
1775
; The type_option.merge_instances appears in the GUI and coverage reports as either
1776
; auto(1) or auto(0), depending on whether the effective value was determined to
1777
; be a 1 or a 0.
1778
; SVCovergroupMergeInstancesDefault = -1
1779
 
1780
; Enable or disable generation of more detailed information about the sampling
1781
; of covergroup, cross, and coverpoints. It provides the details of the number
1782
; of times the covergroup instance and type were sampled, as well as details
1783
; about why covergroup, cross and coverpoint were not covered. A non-zero value
1784
; is to enable this feature. 0 is to disable this feature. Default is 0
1785
; SVCovergroupSampleInfo = 0
1786
 
1787
; Specify the maximum number of Coverpoint bins in whole design for
1788
; all Covergroups.
1789
; MaxSVCoverpointBinsDesign = 2147483648
1790
 
1791
; Specify maximum number of Coverpoint bins in any instance of a Covergroup, default is 2^10 bins
1792
; MaxSVCoverpointBinsInst = 1048576
1793
 
1794
; Specify the maximum number of Cross bins in whole design for
1795
; all Covergroups.
1796
; MaxSVCrossBinsDesign = 2147483648
1797
 
1798
; Specify maximum number of Cross bins in any instance of a Covergroup, default is 2^16 bins
1799
; MaxSVCrossBinsInst = 67108864
1800
 
1801
; Specify whether vsim will collect the coverage data of zero-weight coverage items or not.
1802
; By default, this variable is set 0, in which case option.no_collect setting will take effect.
1803
; If this variable is set to 1, all zero-weight coverage items will not be saved.
1804
; Note that the usage of vsim switch -cvgzwnocollect, if present, will override the setting
1805
; of this variable.
1806
; CvgZWNoCollect = 1
1807
 
1808
; Specify a space delimited list of double quoted TCL style
1809
; regular expressions which will be matched against the text of all messages.
1810
; If any regular expression is found to be contained within any message, the
1811
; status for that message will not be propagated to the UCDB TESTSTATUS.
1812
; If no match is detected, then the status will be propagated to the
1813
; UCDB TESTSTATUS. More than one such regular expression text is allowed,
1814
; and each message text is compared for each regular expression in the list.
1815
; UCDBTestStatusMessageFilter = "Done with Test Bench" "Ignore .* message"
1816
 
1817
; Set weight for all PSL/SVA cover directives.  Default is 1.
1818
; CoverWeight = 2
1819
 
1820
; Check vsim plusargs.  Default is 0 (off).
1821
; 0 = Don't check plusargs
1822
; 1 = Warning on unrecognized plusarg
1823
; 2 = Error and exit on unrecognized plusarg
1824
; CheckPlusargs = 1
1825
 
1826
; Load the specified shared objects with the RTLD_GLOBAL flag.
1827
; This gives global visibility to all symbols in the shared objects,
1828
; meaning that subsequently loaded shared objects can bind to symbols
1829
; in the global shared objects.  The list of shared objects should
1830
; be whitespace delimited.  This option is not supported on the
1831
; Windows or AIX platforms.
1832
; GlobalSharedObjectList = example1.so example2.so example3.so
1833
 
1834
; Generate the stub definitions for the undefined symbols in the shared libraries being
1835
; loaded in the simulation. When this flow is turned on, the undefined symbols will not
1836
; prevent vsim from loading. Calling undefined symbols at runtime will cause fatal error.
1837
; The valid arguments are: on, off, verbose.
1838
;     on : turn on the automatic generation of stub definitions.
1839
;     off: turn off the flow. The undefined symbols will trigger an immediate load failure.
1840
;     verbose: Turn on the flow and report the undefined symbols for each shared library.
1841
; NOTE: This variable can be overriden with vsim switch "-undefsyms".
1842
; The default is on.
1843
;
1844
; UndefSyms = off
1845
 
1846
; Enable the support for automatically checkpointing foreign C/C++ libraries.
1847
; The valid arguments are: 0, 1, 2
1848
;    0: off  (default)
1849
;    1: on   (manually save/restore user shared library data)
1850
;    2: auto (automatically save/restore user shared library data)
1851
; This option is not supported on the Windows platforms.
1852
;
1853
; AllowCheckpointCpp = 2
1854
 
1855
; Initial seed for the random number generator of the root thread (SystemVerilog).
1856
; NOTE: This variable can be overridden with the vsim "-sv_seed" command line switch.
1857
; The default value is 0.
1858
; Sv_Seed = 0
1859
 
1860
; Specify the solver "engine" that vsim will select for constrained random
1861
; generation.
1862
; Valid values are:
1863
;    "auto" - automatically select the best engine for the current
1864
;             constraint scenario
1865
;    "bdd"  - evaluate all constraint scenarios using the BDD solver engine
1866
;    "act"  - evaluate all constraint scenarios using the ACT solver engine
1867
; While the BDD solver engine is generally efficient with constraint scenarios
1868
; involving bitwise logical relationships, the ACT solver engine can exhibit
1869
; superior performance with constraint scenarios involving large numbers of
1870
; random variables related via arithmetic operators (+, *, etc).
1871
; NOTE: This variable can be overridden with the vsim "-solveengine" command
1872
; line switch.
1873
; The default value is "auto".
1874
; SolveEngine = auto
1875
 
1876
; Specify the maximum size that a random dynamic array or queue may be resized
1877
; to by the solver. If the solver attempts to resize a dynamic array or queue
1878
; to a size greater than the specified limit, the solver will abort with an error.
1879
; The default value is 10000. The maximum value is 10000000. A value of 0 is
1880
; equivalent to specifying the maximum value.
1881
; SolveArrayResizeMax = 10000
1882
 
1883
; Specify error message severity when randomize() and randomize(null) failures
1884
; are detected.
1885
;
1886
; Integer value up to two digits are allowed with each digit having the following legal values:
1887
; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
1888
;
1889
; 1) When a value with two digits is used, the digit at tenth place (leftmost digit) represents
1890
;    the severtity setting for normal randomize() calls. The digit at ones place (rightmost digit)
1891
;    represents the setting for randomize(null) calls.
1892
;
1893
; 2) When a single digit value is used, the setting is applied to both normal randomize() call
1894
;    and randomize(null) call.
1895
;
1896
; Example: Fatal error for randomize() failures and NO error for randomize(null) failures
1897
;   -solvefailseverity=40
1898
;
1899
; NOTE: SolveFailSeverity can affect the behavior of SolveFailDebug. When SolveFailDebug is
1900
; enabled, a constraint contradiction report will be displayed for randomize() calls that
1901
; have a message severity >= warning (i.e. constraint contradiction reports will not be
1902
; generated for randomize() calls having a "no error" severity level)
1903
;
1904
; NOTE: This variable can be overridden with the vsim "-solvefailseverity" command
1905
; line switch.
1906
;
1907
; The default is 1 (warning).
1908
; SolveFailSeverity = 1
1909
 
1910
; Error message severity for suppressible errors that are detected in a
1911
; solve/before constraint.
1912
; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
1913
; NOTE: This variable can be overridden with the vsim "-solvebeforeerrorseverity"
1914
; command line switch.
1915
; The default is 3 (failure).
1916
; SolveBeforeErrorSeverity = 3
1917
 
1918
; Error message severity for suppressible errors that are related to
1919
; solve engine capacity limits
1920
; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
1921
; NOTE: This variable can be overridden with the vsim "-solveengineerrorseverity"
1922
; command line switch.
1923
; The default is 3 (failure).
1924
; SolveEngineErrorSeverity = 3
1925
 
1926
; Enable/disable constraint conflicts on randomize() failure
1927
; Valid values:
1928
;     0 - disable solvefaildebug
1929
;     1 - basic debug (no performance penalty)
1930
;     2 - enhanced debug (runtime performance penalty)
1931
;
1932
; NOTE: SolveFailSeverity can affect the behavior of SolveFailDebug. When SolveFailDebug is
1933
; enabled, a constraint contradiction report will be displayed for randomize() calls that
1934
; have a message severity >= warning (i.e. constraint contradiction reports will not be
1935
; generated for randomize() calls having a "no error" severity level)
1936
;
1937
; NOTE: This variable can be overridden with the vsim "-solvefaildebug" command
1938
; line switch.
1939
;
1940
; The default is 1 (basic debug).
1941
; SolveFailDebug = 1
1942
 
1943
; Upon encountering a randomize() failure, generate a simplified testcase that
1944
; will reproduce the failure. Optionally output the testcase to a file.
1945
; Testcases for 'no-solution' failures will only be produced if SolveFailDebug
1946
; is enabled (see above).
1947
; NOTE: This variable can be overridden with the vsim "-solvefailtestcase"
1948
; command line switch.
1949
; The default is OFF (do not generate a testcase). To enable testcase
1950
; generation, uncomment this variable. To redirect testcase generation to a
1951
; file, specify the name of the output file.
1952
; SolveFailTestcase =
1953
 
1954
; Specify solver timeout threshold (in seconds). randomize() will fail if the
1955
; CPU time required to evaluate any randset exceeds the specified timeout.
1956
; The default value is 500. A value of 0 will disable timeout failures.
1957
; SolveTimeout = 500
1958
 
1959
; Specify the alternative behavior during solver replay. Must be used when combined with -solvereplay switch.
1960
; SolveReplayOpt=[+|-][,[+|-]]*"
1961
' Valid  settings:
1962
;    validate : toggle the checking of value changes of non-random variables involved in randomize(). (default is off)"
1963
; SolveReplayOpt=validate
1964
 
1965
; Switch to specify options that control the behavior of the solver profiler..
1966
; Valid options are:
1967
;   cputime     - use CPU time instead of elapsed time (wall time) to measure performance data (default is off)
1968
;   randsets    - enable detailed profiling of randsets (default is off)
1969
;   testgen     - generate testcases for profiled randsets (only when randsets option is enabled) (default is off)
1970
; SolverFProf = [+|-]
1971
 
1972
; Specify the maximum size of the solution graph generated by the BDD solver.
1973
; This value can be used to force the BDD solver to abort the evaluation of a
1974
; complex constraint scenario that cannot be evaluated with finite memory.
1975
; This value is specified in 1000s of nodes.
1976
; The default value is 10000. A value of 0 indicates no limit.
1977
; SolveGraphMaxSize = 10000
1978
 
1979
; Specify the maximum number of evaluations that may be performed on the
1980
; solution graph by the BDD solver. This value can be used to force the BDD
1981
; solver to abort the evaluation of a complex constraint scenario that cannot
1982
; be evaluated in finite time. This value is specified in 10000s of evaluations.
1983
; The default value is 10000. A value of 0 indicates no limit.
1984
; SolveGraphMaxEval = 10000
1985
 
1986
; Specify random sequence compatiblity with a prior release. This
1987
; option is used to get the same random sequences during simulation as
1988
; as a prior release. Only prior releases with the same major version
1989
; as the current release are allowed.
1990
; NOTE: Only those random sequence changes due to solver optimizations are
1991
; reverted by this variable. Random sequence changes due to solver bugfixes
1992
; cannot be un-done.
1993
; NOTE: This variable can be overridden with the vsim "-solverev" command
1994
; line switch.
1995
; Default value set to "" (no compatibility).
1996
; SolveRev =
1997
 
1998
; Environment variable expansion of command line arguments has been depricated
1999
; in favor shell level expansion.  Universal environment variable expansion
2000
; inside -f files is support and continued support for MGC Location Maps provide
2001
; alternative methods for handling flexible pathnames.
2002
; The following line may be uncommented and the value set to 1 to re-enable this
2003
; deprecated behavior.  The default value is 0.
2004
; DeprecatedEnvironmentVariableExpansion = 0
2005
 
2006
; Specify the memory threshold for the System Verilog garbage collector.
2007
; The value is the number of megabytes of class objects that must accumulate
2008
; before the garbage collector is run.
2009
; The GCThreshold setting is used when class debug mode is disabled to allow
2010
; less frequent garbage collection and better simulation performance.
2011
; The GCThresholdClassDebug setting is used when class debug mode is enabled
2012
; to allow for more frequent garbage collection.
2013
; GCThreshold = 100
2014
; GCThresholdClassDebug = 5
2015
 
2016
; Turn on/off collapsing of bus ports in VCD dumpports output
2017
DumpportsCollapse = 1
2018
 
2019
; Location of Multi-Level Verification Component (MVC) installation.
2020
; The default location is the product installation directory.
2021
MvcHome = $QUESTA_MVC_HOME
2022
 
2023
; Location of InFact installation. The default is $MODEL_TECH/../../infact
2024
;
2025
; InFactHome = $MODEL_TECH/../../infact
2026
 
2027
; Initialize SystemVerilog enums using the base type's default value
2028
; instead of the leftmost value.
2029
; EnumBaseInit = 1
2030
 
2031
; Suppress file type registration.
2032
; SuppressFileTypeReg = 1
2033
 
2034
; Enable/disable non-LRM compliant SystemVerilog language extensions.
2035
; Valid extensions are:
2036
;   altdpiheader - Alternative style function signature generated in DPI header",
2037
;   cfce         - generate an error if $cast fails as a function
2038
;   cfmt         - C like formatting for specifiers with '#' prefix ('%#x', '%#h')
2039
;   dfsp         - sets default format specifier as %p, if no format specifier is given for unpacked array in $display and related systasks
2040
;   expdfmt      - enable format string extensions for $display/$sformatf
2041
;   extscan      - support values greater than 32 bit for string builtin methods (atohex, atobin, atooct, atoi)
2042
;   fmtcap       - prints capital hex digits with %X/%H in display calls
2043
;   iddp         - ignore DPI disable protocol check
2044
;   lfmt         - zero-pad data if '0' prefixes width in format specifier (e.g. "%04h")
2045
;   noexptc      - ignore DPI export type name overloading check
2046
;   realrand     - support randomize() with real variables and constraints (Default)
2047
; SvExtensions = [+|-][,[+|-]*]
2048
 
2049
; Enable/disable non-LRM compliant SystemVerilog constrained-random language extensions.
2050
; Valid extensions are:
2051
;   arraymode    - consider rand_mode of unpacked array field independently from its elements
2052
;   deepcheck    - allow randomize(null) to recursively consider constraints from member rand class handles (Default)
2053
;   funcback     - enable function backtracking (ACT only)
2054
;   genmodseedfix - enable LRM-compliant seeding of module/interface instances under for-generate blocks (Default)
2055
;   impvecindex  - inject constraints on random indices of 2-state vectors
2056
;   nodist       - interpret 'dist' constraint as 'inside' (ACT only)
2057
;   noorder      - ignore solve/before ordering constraints (ACT only)
2058
;   pathseed     - enable unique seeding of module instances based on hierarchical path name
2059
;   prerandfirst - execute all pre_randomize() functions before evaluating any constraints
2060
;   promotedist  - promote priority of 'dist' constraint if LHS has no solve/before
2061
;   purecheck    - suppress pre_randomize() and post_randomize() calls for randomize(null)
2062
;   randindex    - allow random index in constraint (Default)
2063
;   randstruct   - consider all fields of unpacked structs as 'rand'
2064
;   skew         - skew randomize results (ACT only)
2065
;   strictstab   - strict random stability
2066
; SvRandExtensions = [+|-][,[+|-]*]
2067
 
2068
; Controls the formatting of '%p' and '%P' conversion specification, used in $display
2069
; and similar system tasks.
2070
; 1. SVPrettyPrintFlags=I use  spaces(S) or tabs(T) per indentation level.
2071
;    The 'I' flag when present causes relevant data types to be expanded and indented into
2072
;    a more readable format.
2073
;    (e.g. SVPrettyPrintFlags=I4S will cause 4 spaces to be used per indentation level).
2074
; 2. SVPrettyPrintFlags=L limits the output to  lines.
2075
;    (e.g. SVPrettyPrintFlags=L20 will limit the output to 20 lines).
2076
; 3. SVPrettyPrintFlags=C limits the output to  characters.
2077
;    (e.g. SVPrettyPrintFlags=C256 will limit the output to 256 characters).
2078
; 4. SVPrettyPrintFlags=F limits the output to  of relevant datatypes
2079
;    (e.g. SVPrettyPrintFlags=F4 will limit the output to 4 fields of a structure).
2080
; 5. SVPrettyPrintFlags=E limits the output to  of relevant datatypes
2081
;    (e.g. SVPrettyPrintFlags=E50 will limit the output to 50 elements of an array).
2082
; 6. SVPrettyPrintFlags=D suppresses the output of sub-elements below .
2083
;    (e.g. SVPrettyPrintFlags=D5 will suppresses the output of sub elements below a depth of 5).
2084
; 7. SVPrettyPrintFlags=R shows the output of specifier %p as per the specifed radix.
2085
;    It changes the output in $display and similar systasks. It does not affect formatted output functions ($displayh etc)).
2086
;    (e.g. SVPrettyPrintFlags=Rb will show the output of %p specifier in binary format.
2087
; 8. Items 1-7 above can be combined as a comma separated list.
2088
;    (e.g. SVPrettyPrintFlags=I4S,L20,C256,F4,E50,D5,Rb)
2089
; SVPrettyPrintFlags=I4S
2090
 
2091
[lmc]
2092
; The simulator's interface to Logic Modeling's SmartModel SWIFT software
2093
libsm = $MODEL_TECH/libsm.sl
2094
; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT)
2095
; libsm = $MODEL_TECH/libsm.dll
2096
;  Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700)
2097
; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl
2098
;  Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000)
2099
; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o
2100
;  Logic Modeling's SmartModel SWIFT software (Sun4 Solaris)
2101
; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so
2102
;  Logic Modeling's SmartModel SWIFT software (Windows NT)
2103
; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll
2104
;  Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux)
2105
; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so
2106
;  Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux)
2107
; libswift = $LMC_HOME/lib/linux.lib/libswift.so
2108
 
2109
; The simulator's interface to Logic Modeling's hardware modeler SFI software
2110
libhm = $MODEL_TECH/libhm.sl
2111
; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT)
2112
; libhm = $MODEL_TECH/libhm.dll
2113
;  Logic Modeling's hardware modeler SFI software (HP 9000 Series 700)
2114
; libsfi = /lib/hp700/libsfi.sl
2115
;  Logic Modeling's hardware modeler SFI software (IBM RISC System/6000)
2116
; libsfi = /lib/rs6000/libsfi.a
2117
;  Logic Modeling's hardware modeler SFI software (Sun4 Solaris)
2118
; libsfi = /lib/sun4.solaris/libsfi.so
2119
;  Logic Modeling's hardware modeler SFI software (Windows NT)
2120
; libsfi = /lib/pcnt/lm_sfi.dll
2121
;  Logic Modeling's hardware modeler SFI software (Linux)
2122
; libsfi = /lib/linux/libsfi.so
2123
 
2124
[msg_system]
2125
; Change a message severity or suppress a message.
2126
; The format is:  = [,...]
2127
; suppress can be used to achieve +nowarn functionality
2128
; The format is: suppress = ,,[,,...]
2129
; Examples:
2130
suppress = 8780 ;an explanation can be had by running: verror 8780
2131
;   note = 3009
2132
;   warning = 3033
2133
;   error = 3010,3016
2134
;   fatal = 3016,3033
2135
;   suppress = 3009,3016,3601
2136
;   suppress = 3009,CNNODP,3601,TFMPC
2137
;   suppress = 8683,8684
2138
; The command verror  can be used to get the complete
2139
; description of a message.
2140
 
2141
; Control transcripting of Verilog display system task messages and
2142
; PLI/FLI print function call messages.  The system tasks include
2143
; $display[bho], $strobe[bho], $monitor[bho], and $write[bho].  They
2144
; also include the analogous file I/O tasks that write to STDOUT
2145
; (i.e. $fwrite or $fdisplay).  The PLI/FLI calls include io_printf,
2146
; vpi_printf, mti_PrintMessage, and mti_PrintFormatted.  The default
2147
; is to have messages appear only in the transcript.  The other
2148
; settings are to send messages to the wlf file only (messages that
2149
; are recorded in the wlf file can be viewed in the MsgViewer) or
2150
; to both the transcript and the wlf file.  The valid values are
2151
;    tran  {transcript only (default)}
2152
;    wlf   {wlf file only}
2153
;    both  {transcript and wlf file}
2154
; displaymsgmode = tran
2155
 
2156
; Control transcripting of elaboration/runtime messages not
2157
; addressed by the displaymsgmode setting.  The default is to
2158
; have messages appear only in the transcript.  The other settings
2159
; are to send messages to the wlf file only (messages that are
2160
; recorded in the wlf file can be viewed in the MsgViewer) or to both
2161
; the transcript and the wlf file. The valid values are
2162
;    tran  {transcript only (default)}
2163
;    wlf   {wlf file only}
2164
;    both  {transcript and wlf file}
2165
; msgmode = tran
2166
 
2167
; Controls number of displays of a particluar message
2168
; default value is 5
2169
; MsgLimitCount = 5
2170
 
2171
[utils]
2172
; Default Library Type (while creating a library with "vlib")
2173
;  0 - legacy library using subdirectories for design units
2174
;  2 - flat library
2175
; DefaultLibType = 2
2176
 
2177
; Flat Library Page Size (while creating a library with "vlib")
2178
; Set the size in bytes for flat library file pages.  Libraries containing
2179
; very large files may benefit from a larger value.
2180
; FlatLibPageSize = 8192
2181
 
2182
; Flat Library Page Cleanup Percentage (while creating a library with "vlib")
2183
; Set the percentage of total pages deleted before library cleanup can occur.
2184
; This setting is applied together with FlatLibPageDeleteThreshold.
2185
; FlatLibPageDeletePercentage = 50
2186
 
2187
; Flat Library Page Cleanup Threshold (while creating a library with "vlib")
2188
; Set the number of pages deleted before library cleanup can occur.
2189
; This setting is applied together with FlatLibPageDeletePercentage.
2190
; FlatLibPageDeleteThreshold = 1000
2191
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.