OpenCores
URL https://opencores.org/ocsvn/next186_soc_pc/next186_soc_pc/trunk

Subversion Repositories next186_soc_pc

[/] [next186_soc_pc/] [trunk/] [HW/] [ipcore_dir/] [cache.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ndumitrach
/*******************************************************************************
2
*     This file is owned and controlled by Xilinx and must be used solely      *
3
*     for design, simulation, implementation and creation of design files      *
4
*     limited to Xilinx devices or technologies. Use with non-Xilinx           *
5
*     devices or technologies is expressly prohibited and immediately          *
6
*     terminates your license.                                                 *
7
*                                                                              *
8
*     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY     *
9
*     FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY     *
10
*     PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE              *
11
*     IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS       *
12
*     MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY       *
13
*     CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY        *
14
*     RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY        *
15
*     DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE    *
16
*     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR           *
17
*     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF          *
18
*     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A    *
19
*     PARTICULAR PURPOSE.                                                      *
20
*                                                                              *
21
*     Xilinx products are not intended for use in life support appliances,     *
22
*     devices, or systems.  Use in such applications are expressly             *
23
*     prohibited.                                                              *
24
*                                                                              *
25
*     (c) Copyright 1995-2013 Xilinx, Inc.                                     *
26
*     All rights reserved.                                                     *
27
*******************************************************************************/
28
// You must compile the wrapper file cache.v when simulating
29
// the core, cache. When compiling the wrapper file, be sure to
30
// reference the XilinxCoreLib Verilog simulation library. For detailed
31
// instructions, please refer to the "CORE Generator Help".
32
 
33
// The synthesis directives "translate_off/translate_on" specified below are
34
// supported by Xilinx, Mentor Graphics and Synplicity synthesis
35
// tools. Ensure they are correct for your synthesis tool(s).
36
 
37
`timescale 1ns/1ps
38
 
39
module cache(
40
  clka,
41
  wea,
42
  addra,
43
  dina,
44
  douta,
45
  clkb,
46
  enb,
47
  web,
48
  addrb,
49
  dinb,
50
  doutb
51
);
52
 
53
input clka;
54
input [3 : 0] wea;
55
input [8 : 0] addra;
56
input [31 : 0] dina;
57
output [31 : 0] douta;
58
input clkb;
59
input enb;
60
input [3 : 0] web;
61
input [8 : 0] addrb;
62
input [31 : 0] dinb;
63
output [31 : 0] doutb;
64
 
65
// synthesis translate_off
66
 
67
  BLK_MEM_GEN_V6_1 #(
68
    .C_ADDRA_WIDTH(9),
69
    .C_ADDRB_WIDTH(9),
70
    .C_ALGORITHM(1),
71
    .C_AXI_ID_WIDTH(4),
72
    .C_AXI_SLAVE_TYPE(0),
73
    .C_AXI_TYPE(1),
74
    .C_BYTE_SIZE(8),
75
    .C_COMMON_CLK(0),
76
    .C_DEFAULT_DATA("0"),
77
    .C_DISABLE_WARN_BHV_COLL(0),
78
    .C_DISABLE_WARN_BHV_RANGE(0),
79
    .C_FAMILY("spartan3"),
80
    .C_HAS_AXI_ID(0),
81
    .C_HAS_ENA(0),
82
    .C_HAS_ENB(1),
83
    .C_HAS_INJECTERR(0),
84
    .C_HAS_MEM_OUTPUT_REGS_A(0),
85
    .C_HAS_MEM_OUTPUT_REGS_B(0),
86
    .C_HAS_MUX_OUTPUT_REGS_A(0),
87
    .C_HAS_MUX_OUTPUT_REGS_B(0),
88
    .C_HAS_REGCEA(0),
89
    .C_HAS_REGCEB(0),
90
    .C_HAS_RSTA(0),
91
    .C_HAS_RSTB(0),
92
    .C_HAS_SOFTECC_INPUT_REGS_A(0),
93
    .C_HAS_SOFTECC_OUTPUT_REGS_B(0),
94
    .C_INIT_FILE_NAME("cache.mif"),
95
    .C_INITA_VAL("0"),
96
    .C_INITB_VAL("0"),
97
    .C_INTERFACE_TYPE(0),
98
    .C_LOAD_INIT_FILE(1),
99
    .C_MEM_TYPE(2),
100
    .C_MUX_PIPELINE_STAGES(0),
101
    .C_PRIM_TYPE(1),
102
    .C_READ_DEPTH_A(512),
103
    .C_READ_DEPTH_B(512),
104
    .C_READ_WIDTH_A(32),
105
    .C_READ_WIDTH_B(32),
106
    .C_RST_PRIORITY_A("CE"),
107
    .C_RST_PRIORITY_B("CE"),
108
    .C_RST_TYPE("SYNC"),
109
    .C_RSTRAM_A(0),
110
    .C_RSTRAM_B(0),
111
    .C_SIM_COLLISION_CHECK("ALL"),
112
    .C_USE_BYTE_WEA(1),
113
    .C_USE_BYTE_WEB(1),
114
    .C_USE_DEFAULT_DATA(0),
115
    .C_USE_ECC(0),
116
    .C_USE_SOFTECC(0),
117
    .C_WEA_WIDTH(4),
118
    .C_WEB_WIDTH(4),
119
    .C_WRITE_DEPTH_A(512),
120
    .C_WRITE_DEPTH_B(512),
121
    .C_WRITE_MODE_A("WRITE_FIRST"),
122
    .C_WRITE_MODE_B("WRITE_FIRST"),
123
    .C_WRITE_WIDTH_A(32),
124
    .C_WRITE_WIDTH_B(32),
125
    .C_XDEVICEFAMILY("spartan3a")
126
  )
127
  inst (
128
    .CLKA(clka),
129
    .WEA(wea),
130
    .ADDRA(addra),
131
    .DINA(dina),
132
    .DOUTA(douta),
133
    .CLKB(clkb),
134
    .ENB(enb),
135
    .WEB(web),
136
    .ADDRB(addrb),
137
    .DINB(dinb),
138
    .DOUTB(doutb),
139
    .RSTA(),
140
    .ENA(),
141
    .REGCEA(),
142
    .RSTB(),
143
    .REGCEB(),
144
    .INJECTSBITERR(),
145
    .INJECTDBITERR(),
146
    .SBITERR(),
147
    .DBITERR(),
148
    .RDADDRECC(),
149
    .S_ACLK(),
150
    .S_ARESETN(),
151
    .S_AXI_AWID(),
152
    .S_AXI_AWADDR(),
153
    .S_AXI_AWLEN(),
154
    .S_AXI_AWSIZE(),
155
    .S_AXI_AWBURST(),
156
    .S_AXI_AWVALID(),
157
    .S_AXI_AWREADY(),
158
    .S_AXI_WDATA(),
159
    .S_AXI_WSTRB(),
160
    .S_AXI_WLAST(),
161
    .S_AXI_WVALID(),
162
    .S_AXI_WREADY(),
163
    .S_AXI_BID(),
164
    .S_AXI_BRESP(),
165
    .S_AXI_BVALID(),
166
    .S_AXI_BREADY(),
167
    .S_AXI_ARID(),
168
    .S_AXI_ARADDR(),
169
    .S_AXI_ARLEN(),
170
    .S_AXI_ARSIZE(),
171
    .S_AXI_ARBURST(),
172
    .S_AXI_ARVALID(),
173
    .S_AXI_ARREADY(),
174
    .S_AXI_RID(),
175
    .S_AXI_RDATA(),
176
    .S_AXI_RRESP(),
177
    .S_AXI_RLAST(),
178
    .S_AXI_RVALID(),
179
    .S_AXI_RREADY(),
180
    .S_AXI_INJECTSBITERR(),
181
    .S_AXI_INJECTDBITERR(),
182
    .S_AXI_SBITERR(),
183
    .S_AXI_DBITERR(),
184
    .S_AXI_RDADDRECC()
185
  );
186
 
187
// synthesis translate_on
188
 
189
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.