OpenCores
URL https://opencores.org/ocsvn/next186_soc_pc/next186_soc_pc/trunk

Subversion Repositories next186_soc_pc

[/] [next186_soc_pc/] [trunk/] [HW/] [ipcore_dir/] [cache.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ndumitrach
--------------------------------------------------------------------------------
2
--     This file is owned and controlled by Xilinx and must be used           --
3
--     solely for design, simulation, implementation and creation of          --
4
--     design files limited to Xilinx devices or technologies. Use            --
5
--     with non-Xilinx devices or technologies is expressly prohibited        --
6
--     and immediately terminates your license.                               --
7
--                                                                            --
8
--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
9
--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
10
--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
11
--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
12
--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
13
--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
14
--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
15
--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
16
--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
17
--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
18
--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
19
--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
20
--     FOR A PARTICULAR PURPOSE.                                              --
21
--                                                                            --
22
--     Xilinx products are not intended for use in life support               --
23
--     appliances, devices, or systems. Use in such applications are          --
24
--     expressly prohibited.                                                  --
25
--                                                                            --
26
--     (c) Copyright 1995-2012 Xilinx, Inc.                                   --
27
--     All rights reserved.                                                   --
28
--------------------------------------------------------------------------------
29
-- You must compile the wrapper file cache.vhd when simulating
30
-- the core, cache. When compiling the wrapper file, be sure to
31
-- reference the XilinxCoreLib VHDL simulation library. For detailed
32
-- instructions, please refer to the "CORE Generator Help".
33
 
34
-- The synthesis directives "translate_off/translate_on" specified
35
-- below are supported by Xilinx, Mentor Graphics and Synplicity
36
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
37
 
38
LIBRARY ieee;
39
USE ieee.std_logic_1164.ALL;
40
-- synthesis translate_off
41
LIBRARY XilinxCoreLib;
42
-- synthesis translate_on
43
ENTITY cache IS
44
  PORT (
45
    clka : IN STD_LOGIC;
46
    wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
47
    addra : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
48
    dina : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
49
    douta : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
50
    clkb : IN STD_LOGIC;
51
    web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
52
    addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
53
    dinb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
54
    doutb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
55
  );
56
END cache;
57
 
58
ARCHITECTURE cache_a OF cache IS
59
-- synthesis translate_off
60
COMPONENT wrapped_cache
61
  PORT (
62
    clka : IN STD_LOGIC;
63
    wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
64
    addra : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
65
    dina : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
66
    douta : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
67
    clkb : IN STD_LOGIC;
68
    web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
69
    addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
70
    dinb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
71
    doutb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
72
  );
73
END COMPONENT;
74
 
75
-- Configuration specification
76
  FOR ALL : wrapped_cache USE ENTITY XilinxCoreLib.blk_mem_gen_v6_1(behavioral)
77
    GENERIC MAP (
78
      c_addra_width => 9,
79
      c_addrb_width => 11,
80
      c_algorithm => 1,
81
      c_axi_id_width => 4,
82
      c_axi_slave_type => 0,
83
      c_axi_type => 1,
84
      c_byte_size => 9,
85
      c_common_clk => 0,
86
      c_default_data => "0",
87
      c_disable_warn_bhv_coll => 0,
88
      c_disable_warn_bhv_range => 0,
89
      c_family => "spartan3",
90
      c_has_axi_id => 0,
91
      c_has_ena => 0,
92
      c_has_enb => 0,
93
      c_has_injecterr => 0,
94
      c_has_mem_output_regs_a => 0,
95
      c_has_mem_output_regs_b => 0,
96
      c_has_mux_output_regs_a => 0,
97
      c_has_mux_output_regs_b => 0,
98
      c_has_regcea => 0,
99
      c_has_regceb => 0,
100
      c_has_rsta => 0,
101
      c_has_rstb => 0,
102
      c_has_softecc_input_regs_a => 0,
103
      c_has_softecc_output_regs_b => 0,
104
      c_init_file_name => "no_coe_file_loaded",
105
      c_inita_val => "0",
106
      c_initb_val => "0",
107
      c_interface_type => 0,
108
      c_load_init_file => 0,
109
      c_mem_type => 2,
110
      c_mux_pipeline_stages => 0,
111
      c_prim_type => 1,
112
      c_read_depth_a => 512,
113
      c_read_depth_b => 2048,
114
      c_read_width_a => 32,
115
      c_read_width_b => 8,
116
      c_rst_priority_a => "CE",
117
      c_rst_priority_b => "CE",
118
      c_rst_type => "SYNC",
119
      c_rstram_a => 0,
120
      c_rstram_b => 0,
121
      c_sim_collision_check => "ALL",
122
      c_use_byte_wea => 0,
123
      c_use_byte_web => 0,
124
      c_use_default_data => 0,
125
      c_use_ecc => 0,
126
      c_use_softecc => 0,
127
      c_wea_width => 1,
128
      c_web_width => 1,
129
      c_write_depth_a => 512,
130
      c_write_depth_b => 2048,
131
      c_write_mode_a => "WRITE_FIRST",
132
      c_write_mode_b => "WRITE_FIRST",
133
      c_write_width_a => 32,
134
      c_write_width_b => 8,
135
      c_xdevicefamily => "spartan3a"
136
    );
137
-- synthesis translate_on
138
BEGIN
139
-- synthesis translate_off
140
U0 : wrapped_cache
141
  PORT MAP (
142
    clka => clka,
143
    wea => wea,
144
    addra => addra,
145
    dina => dina,
146
    douta => douta,
147
    clkb => clkb,
148
    web => web,
149
    addrb => addrb,
150
    dinb => dinb,
151
    doutb => doutb
152
  );
153
-- synthesis translate_on
154
 
155
END cache_a;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.