OpenCores
URL https://opencores.org/ocsvn/noc/noc/trunk

Subversion Repositories noc

[/] [noc/] [src/] [photonic_sw.h] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 imori
/*
2
 * =====================================================================================
3
 *
4
 *       Filename:  photonic_sw.h
5
 *
6
 *    Description:
7
 *
8
 *        Version:  1.0
9
 *        Created:  04/14/2009 02:15:17 PM
10
 *       Revision:  none
11
 *       Compiler:  gcc
12
 *
13
 *         Author:  Soontea Kwon (), Kwonst@skku.edu
14
 *        Company:  Mobile Electronics Lab
15
 *
16
 * =====================================================================================
17
 */
18
 
19
#ifndef PHOTONIC_SW_H
20
#define PHOTONIC_SW_H
21
 
22
#include <iostream>
23
#include <systemc>
24
#include "define.h"
25
using namespace std;
26
using namespace sc_core;
27
using namespace sc_dt;
28
 
29
class photonic_sw : public sc_module{
30
public:
31
        sc_in<bool>                     reset_n;
32
        sc_in<bool>                     clock;
33
 
34
        sc_in<sc_logic>     e_oi_in;        //0.East oi input.
35
        sc_out<sc_logic>    e_oi_out;       //1.East oi output.
36
        sc_in<sc_logic>     w_oi_in;        //2.
37
        sc_out<sc_logic>    w_oi_out;       //3.
38
        sc_in<sc_logic>     s_oi_in;        //4.
39
        sc_out<sc_logic>    s_oi_out;       //5.
40
        sc_in<sc_logic>     n_oi_in;            //6.
41
        sc_out<sc_logic>    n_oi_out;       //7.
42
 
43
        sc_in<sc_logic>     c_oi_in;            //8.
44
        sc_out<sc_logic>    c_oi_out;           //9.
45
        sc_in<sc_uint<16> >  oi_control;                //10.
46
 
47
        SC_CTOR(photonic_sw){
48
                SC_THREAD(sw_control);
49
                sensitive << oi_control << c_oi_in << e_oi_in << w_oi_in << s_oi_in << n_oi_in
50
                        << reset_n.neg() << clock.pos() << clock.neg();
51
        }
52
protected:
53
        void sw_control();
54
 
55
};
56
 
57
#endif
58
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.