OpenCores
URL https://opencores.org/ocsvn/nocem/nocem/trunk

Subversion Repositories nocem

[/] [nocem/] [trunk/] [VHDL/] [arb_simple_pkt_nocem.vhd] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 schelleg
 
2
-----------------------------------------------------------------------------
3
-- NoCem -- Network on Chip Emulation Tool for System on Chip Research 
4
-- and Implementations
5
-- 
6
-- Copyright (C) 2006  Graham Schelle, Dirk Grunwald
7
-- 
8
-- This program is free software; you can redistribute it and/or
9
-- modify it under the terms of the GNU General Public License
10
-- as published by the Free Software Foundation; either version 2
11
-- of the License, or (at your option) any later version.
12
-- 
13
-- This program is distributed in the hope that it will be useful,
14
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
15
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
16
-- GNU General Public License for more details.
17
-- 
18
-- You should have received a copy of the GNU General Public License
19
-- along with this program; if not, write to the Free Software
20
-- Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA  
21
-- 02110-1301, USA.
22
-- 
23
-- The authors can be contacted by email: <schelleg,grunwald>@cs.colorado.edu 
24
-- 
25
-- or by mail: Campus Box 430, Department of Computer Science,
26
-- University of Colorado at Boulder, Boulder, Colorado 80309
27
-------------------------------------------------------------------------------- 
28
 
29
 
30
-- 
31 2 schelleg
-- Filename: arb_simple_pkt_nocem.vhd
32 4 schelleg
-- 
33 2 schelleg
-- Description: interconnect for packet designs
34 4 schelleg
-- 
35
 
36
 
37
--------------------------------------------------------------------------------
38
-- Company: 
39
-- Engineer:
40
--
41
-- Create Date:    13:53:27 09/12/05
42
-- Design Name:    
43
-- Module Name:    arb_simple_pkt_nocem - Behavioral
44
-- Project Name:   
45
-- Target Device:  
46
-- Tool versions:  
47
-- Description:
48
--
49
-- Dependencies:
50
-- 
51
-- Revision:
52
-- Revision 0.01 - File Created
53
-- Additional Comments:
54
-- 
55
--------------------------------------------------------------------------------
56
library IEEE;
57
use IEEE.STD_LOGIC_1164.ALL;
58
use IEEE.STD_LOGIC_ARITH.ALL;
59
use IEEE.STD_LOGIC_UNSIGNED.ALL;
60
 
61
---- Uncomment the following library declaration if instantiating
62
---- any Xilinx primitives in this code.
63
--library UNISIM;
64
--use UNISIM.VComponents.all;
65
 
66
entity arb_simple_pkt_nocem is
67
    Port ( clk : in std_logic;
68
           rst : in std_logic);
69
end arb_simple_pkt_nocem;
70
 
71
architecture Behavioral of arb_simple_pkt_nocem is
72
 
73
begin
74
 
75
 
76
 
77
-- instantiate NUM_ACCESS_POINTS local arbitrators
78
 
79
-- tie together the wires necessary (datain/out / channel in/out)
80
 
81
 
82
end Behavioral;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.