OpenCores
URL https://opencores.org/ocsvn/npigrctrl/npigrctrl/trunk

Subversion Repositories npigrctrl

[/] [npigrctrl/] [tags/] [arelease/] [npi_vga_v1_00_b/] [hdl/] [vhdl/] [plbbr.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 slavek
------------------------------------------------------------------------------
2
-- plbbr.vhd - entity/architecture pair
3
------------------------------------------------------------------------------
4
-- IMPORTANT:
5
-- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS.
6
--
7
-- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED.
8
--
9
-- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW
10
-- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION
11
-- OF THE USER_LOGIC ENTITY.
12
------------------------------------------------------------------------------
13
--
14
-- ***************************************************************************
15
-- ** Copyright (c) 1995-2007 Xilinx, Inc.  All rights reserved.            **
16
-- **                                                                       **
17
-- ** Xilinx, Inc.                                                          **
18
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"         **
19
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND       **
20
-- ** SOLUTIONS FOR XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE,        **
21
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,        **
22
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION           **
23
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,     **
24
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE      **
25
-- ** FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY              **
26
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE               **
27
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR        **
28
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF       **
29
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS       **
30
-- ** FOR A PARTICULAR PURPOSE.                                             **
31
-- **                                                                       **
32
-- ***************************************************************************
33
--
34
------------------------------------------------------------------------------
35
-- Filename:          plbbr.vhd
36
-- Version:           1.00.a
37
-- Description:       Top level design, instantiates library components and user logic.
38
-- Date:              Sun Apr 13 14:29:06 2008 (by Create and Import Peripheral Wizard)
39
-- VHDL Standard:     VHDL'93
40
------------------------------------------------------------------------------
41
-- Naming Conventions:
42
--   active low signals:                    "*_n"
43
--   clock signals:                         "clk", "clk_div#", "clk_#x"
44
--   reset signals:                         "rst", "rst_n"
45
--   generics:                              "C_*"
46
--   user defined types:                    "*_TYPE"
47
--   state machine next state:              "*_ns"
48
--   state machine current state:           "*_cs"
49
--   combinatorial signals:                 "*_com"
50
--   pipelined or register delay signals:   "*_d#"
51
--   counter signals:                       "*cnt*"
52
--   clock enable signals:                  "*_ce"
53
--   internal version of output port:       "*_i"
54
--   device pins:                           "*_pin"
55
--   ports:                                 "- Names begin with Uppercase"
56
--   processes:                             "*_PROCESS"
57
--   component instantiations:              "<ENTITY_>I_<#|FUNC>"
58
------------------------------------------------------------------------------
59
 
60
library ieee;
61
use ieee.std_logic_1164.all;
62
use ieee.std_logic_arith.all;
63
use ieee.std_logic_unsigned.all;
64
 
65
library proc_common_v2_00_a;
66
use proc_common_v2_00_a.proc_common_pkg.all;
67
use proc_common_v2_00_a.ipif_pkg.all;
68
 
69
library plbv46_slave_single_v1_00_a;
70
use plbv46_slave_single_v1_00_a.plbv46_slave_single;
71
 
72
library npi_vga_v1_00_b;
73
use npi_vga_v1_00_b.user_logic;
74
 
75
------------------------------------------------------------------------------
76
-- Entity section
77
------------------------------------------------------------------------------
78
-- Definition of Generics:
79
--   C_SPLB_AWIDTH                -- PLBv46 slave: address bus width
80
--   C_SPLB_DWIDTH                -- PLBv46 slave: data bus width
81
--   C_SPLB_NUM_MASTERS           -- PLBv46 slave: Number of masters
82
--   C_SPLB_MID_WIDTH             -- PLBv46 slave: master ID bus width
83
--   C_SPLB_NATIVE_DWIDTH         -- PLBv46 slave: internal native data bus width
84
--   C_SPLB_P2P                   -- PLBv46 slave: point to point interconnect scheme
85
--   C_SPLB_SUPPORT_BURSTS        -- PLBv46 slave: support bursts
86
--   C_SPLB_SMALLEST_MASTER       -- PLBv46 slave: width of the smallest master
87
--   C_SPLB_CLK_PERIOD_PS         -- PLBv46 slave: bus clock in picoseconds
88
--   C_FAMILY                     -- Xilinx FPGA family
89
--   C_MEM0_BASEADDR              -- User memory space 0 base address
90
--   C_MEM0_HIGHADDR              -- User memory space 0 high address
91
--   C_MEM1_BASEADDR              -- User memory space 1 base address
92
--   C_MEM1_HIGHADDR              -- User memory space 1 high address
93
--
94
-- Definition of Ports:
95
--   SPLB_Clk                     -- PLB main bus clock
96
--   SPLB_Rst                     -- PLB main bus reset
97
--   PLB_ABus                     -- PLB address bus
98
--   PLB_UABus                    -- PLB upper address bus
99
--   PLB_PAValid                  -- PLB primary address valid indicator
100
--   PLB_SAValid                  -- PLB secondary address valid indicator
101
--   PLB_rdPrim                   -- PLB secondary to primary read request indicator
102
--   PLB_wrPrim                   -- PLB secondary to primary write request indicator
103
--   PLB_masterID                 -- PLB current master identifier
104
--   PLB_abort                    -- PLB abort request indicator
105
--   PLB_busLock                  -- PLB bus lock
106
--   PLB_RNW                      -- PLB read/not write
107
--   PLB_BE                       -- PLB byte enables
108
--   PLB_MSize                    -- PLB master data bus size
109
--   PLB_size                     -- PLB transfer size
110
--   PLB_type                     -- PLB transfer type
111
--   PLB_lockErr                  -- PLB lock error indicator
112
--   PLB_wrDBus                   -- PLB write data bus
113
--   PLB_wrBurst                  -- PLB burst write transfer indicator
114
--   PLB_rdBurst                  -- PLB burst read transfer indicator
115
--   PLB_wrPendReq                -- PLB write pending bus request indicator
116
--   PLB_rdPendReq                -- PLB read pending bus request indicator
117
--   PLB_wrPendPri                -- PLB write pending request priority
118
--   PLB_rdPendPri                -- PLB read pending request priority
119
--   PLB_reqPri                   -- PLB current request priority
120
--   PLB_TAttribute               -- PLB transfer attribute
121
--   Sl_addrAck                   -- Slave address acknowledge
122
--   Sl_SSize                     -- Slave data bus size
123
--   Sl_wait                      -- Slave wait indicator
124
--   Sl_rearbitrate               -- Slave re-arbitrate bus indicator
125
--   Sl_wrDAck                    -- Slave write data acknowledge
126
--   Sl_wrComp                    -- Slave write transfer complete indicator
127
--   Sl_wrBTerm                   -- Slave terminate write burst transfer
128
--   Sl_rdDBus                    -- Slave read data bus
129
--   Sl_rdWdAddr                  -- Slave read word address
130
--   Sl_rdDAck                    -- Slave read data acknowledge
131
--   Sl_rdComp                    -- Slave read transfer complete indicator
132
--   Sl_rdBTerm                   -- Slave terminate read burst transfer
133
--   Sl_MBusy                     -- Slave busy indicator
134
--   Sl_MWrErr                    -- Slave write error indicator
135
--   Sl_MRdErr                    -- Slave read error indicator
136
--   Sl_MIRQ                      -- Slave interrupt indicator
137
------------------------------------------------------------------------------
138
 
139
entity plbbr is
140
  generic
141
  (
142
    -- ADD USER GENERICS BELOW THIS LINE ---------------
143
    --USER generics added here
144
    -- ADD USER GENERICS ABOVE THIS LINE ---------------
145
 
146
    -- DO NOT EDIT BELOW THIS LINE ---------------------
147
    -- Bus protocol parameters, do not add to or delete
148
    C_SPLB_AWIDTH                  : integer              := 32;
149
    C_SPLB_DWIDTH                  : integer              := 128;
150
    C_SPLB_NUM_MASTERS             : integer              := 8;
151
    C_SPLB_MID_WIDTH               : integer              := 3;
152
    C_SPLB_NATIVE_DWIDTH           : integer              := 32;
153
    C_SPLB_P2P                     : integer              := 0;
154
    C_SPLB_SUPPORT_BURSTS          : integer              := 0;
155
    C_SPLB_SMALLEST_MASTER         : integer              := 32;
156
    C_SPLB_CLK_PERIOD_PS           : integer              := 10000;
157
    C_FAMILY                       : string               := "virtex5";
158
    C_MEM0_BASEADDR                : std_logic_vector     := X"FFFFFFFF";
159
    C_MEM0_HIGHADDR                : std_logic_vector     := X"00000000";
160
    C_MEM1_BASEADDR                : std_logic_vector     := X"FFFFFFFF";
161
    C_MEM1_HIGHADDR                : std_logic_vector     := X"00000000"
162
    -- DO NOT EDIT ABOVE THIS LINE ---------------------
163
  );
164
  port
165
  (
166
    -- ADD USER PORTS BELOW THIS LINE ------------------
167
    --USER ports added here
168
 
169
   GR_DATA_O               : out    std_logic_vector(31 downto 0);
170
   GR_DATA_I0              : in     std_logic_vector(31 downto 0);
171
   GR_DATA_I1              : in     std_logic_vector(31 downto 0);
172
   GR_ADDR                 : out    std_logic_vector(15 downto 2);
173
   GR_RNW                  : out    std_logic;
174
   GR_CS                   : out    std_logic_vector(1 downto 0);
175
 
176
    -- ADD USER PORTS ABOVE THIS LINE ------------------
177
 
178
    -- DO NOT EDIT BELOW THIS LINE ---------------------
179
    -- Bus protocol ports, do not add to or delete
180
    SPLB_Clk                       : in  std_logic;
181
    SPLB_Rst                       : in  std_logic;
182
    PLB_ABus                       : in  std_logic_vector(0 to 31);
183
    PLB_UABus                      : in  std_logic_vector(0 to 31);
184
    PLB_PAValid                    : in  std_logic;
185
    PLB_SAValid                    : in  std_logic;
186
    PLB_rdPrim                     : in  std_logic;
187
    PLB_wrPrim                     : in  std_logic;
188
    PLB_masterID                   : in  std_logic_vector(0 to C_SPLB_MID_WIDTH-1);
189
    PLB_abort                      : in  std_logic;
190
    PLB_busLock                    : in  std_logic;
191
    PLB_RNW                        : in  std_logic;
192
    PLB_BE                         : in  std_logic_vector(0 to C_SPLB_DWIDTH/8-1);
193
    PLB_MSize                      : in  std_logic_vector(0 to 1);
194
    PLB_size                       : in  std_logic_vector(0 to 3);
195
    PLB_type                       : in  std_logic_vector(0 to 2);
196
    PLB_lockErr                    : in  std_logic;
197
    PLB_wrDBus                     : in  std_logic_vector(0 to C_SPLB_DWIDTH-1);
198
    PLB_wrBurst                    : in  std_logic;
199
    PLB_rdBurst                    : in  std_logic;
200
    PLB_wrPendReq                  : in  std_logic;
201
    PLB_rdPendReq                  : in  std_logic;
202
    PLB_wrPendPri                  : in  std_logic_vector(0 to 1);
203
    PLB_rdPendPri                  : in  std_logic_vector(0 to 1);
204
    PLB_reqPri                     : in  std_logic_vector(0 to 1);
205
    PLB_TAttribute                 : in  std_logic_vector(0 to 15);
206
    Sl_addrAck                     : out std_logic;
207
    Sl_SSize                       : out std_logic_vector(0 to 1);
208
    Sl_wait                        : out std_logic;
209
    Sl_rearbitrate                 : out std_logic;
210
    Sl_wrDAck                      : out std_logic;
211
    Sl_wrComp                      : out std_logic;
212
    Sl_wrBTerm                     : out std_logic;
213
    Sl_rdDBus                      : out std_logic_vector(0 to C_SPLB_DWIDTH-1);
214
    Sl_rdWdAddr                    : out std_logic_vector(0 to 3);
215
    Sl_rdDAck                      : out std_logic;
216
    Sl_rdComp                      : out std_logic;
217
    Sl_rdBTerm                     : out std_logic;
218
    Sl_MBusy                       : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
219
    Sl_MWrErr                      : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
220
    Sl_MRdErr                      : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
221
    Sl_MIRQ                        : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1)
222
    -- DO NOT EDIT ABOVE THIS LINE ---------------------
223
  );
224
 
225
  attribute SIGIS : string;
226
  attribute SIGIS of SPLB_Clk      : signal is "CLK";
227
  attribute SIGIS of SPLB_Rst      : signal is "RST";
228
 
229
end entity plbbr;
230
 
231
------------------------------------------------------------------------------
232
-- Architecture section
233
------------------------------------------------------------------------------
234
 
235
architecture IMP of plbbr is
236
 
237
  ------------------------------------------
238
  -- Array of base/high address pairs for each address range
239
  ------------------------------------------
240
  constant ZERO_ADDR_PAD                  : std_logic_vector(0 to 31) := (others => '0');
241
 
242
  constant IPIF_ARD_ADDR_RANGE_ARRAY      : SLV64_ARRAY_TYPE     :=
243
    (
244
      ZERO_ADDR_PAD & C_MEM0_BASEADDR,    -- user logic memory space 0 base address
245
      ZERO_ADDR_PAD & C_MEM0_HIGHADDR,    -- user logic memory space 0 high address
246
      ZERO_ADDR_PAD & C_MEM1_BASEADDR,    -- user logic memory space 1 base address
247
      ZERO_ADDR_PAD & C_MEM1_HIGHADDR     -- user logic memory space 1 high address
248
    );
249
 
250
  ------------------------------------------
251
  -- Array of desired number of chip enables for each address range
252
  ------------------------------------------
253
  constant USER_NUM_MEM                   : integer              := 2;
254
 
255
  constant IPIF_ARD_NUM_CE_ARRAY          : INTEGER_ARRAY_TYPE   :=
256
    (
257
 
258
      1  => 1                             -- number of ce for user logic memory space 1 (always 1 chip enable)
259
    );
260
 
261
  ------------------------------------------
262
  -- Ratio of bus clock to core clock (for use in dual clock systems)
263
  -- 1 = ratio is 1:1
264
  -- 2 = ratio is 2:1
265
  ------------------------------------------
266
  constant IPIF_BUS2CORE_CLK_RATIO        : integer              := 1;
267
 
268
  ------------------------------------------
269
  -- Width of the slave data bus (32 only)
270
  ------------------------------------------
271
  constant USER_SLV_DWIDTH                : integer              := C_SPLB_NATIVE_DWIDTH;
272
 
273
  constant IPIF_SLV_DWIDTH                : integer              := C_SPLB_NATIVE_DWIDTH;
274
 
275
  ------------------------------------------
276
  -- Width of the slave address bus (32 only)
277
  ------------------------------------------
278
  constant USER_SLV_AWIDTH                : integer              := C_SPLB_AWIDTH;
279
 
280
  ------------------------------------------
281
  -- Index for CS/CE
282
  ------------------------------------------
283
  constant USER_MEM0_CS_INDEX             : integer              := 0;
284
 
285
  constant USER_CS_INDEX                  : integer              := USER_MEM0_CS_INDEX;
286
 
287
  ------------------------------------------
288
  -- IP Interconnect (IPIC) signal declarations
289
  ------------------------------------------
290
  signal ipif_Bus2IP_Clk                : std_logic;
291
  signal ipif_Bus2IP_Reset              : std_logic;
292
  signal ipif_IP2Bus_Data               : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
293
  signal ipif_IP2Bus_WrAck              : std_logic;
294
  signal ipif_IP2Bus_RdAck              : std_logic;
295
  signal ipif_IP2Bus_Error              : std_logic;
296
  signal ipif_Bus2IP_Addr               : std_logic_vector(0 to C_SPLB_AWIDTH-1);
297
  signal ipif_Bus2IP_Data               : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
298
  signal ipif_Bus2IP_RNW                : std_logic;
299
  signal ipif_Bus2IP_BE                 : std_logic_vector(0 to IPIF_SLV_DWIDTH/8-1);
300
  signal ipif_Bus2IP_CS                 : std_logic_vector(0 to ((IPIF_ARD_ADDR_RANGE_ARRAY'length)/2)-1);
301
  signal ipif_Bus2IP_RdCE               : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
302
  signal ipif_Bus2IP_WrCE               : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
303
  signal user_IP2Bus_Data               : std_logic_vector(0 to USER_SLV_DWIDTH-1);
304
  signal user_IP2Bus_RdAck              : std_logic;
305
  signal user_IP2Bus_WrAck              : std_logic;
306
  signal user_IP2Bus_Error              : std_logic;
307
 
308
begin
309
 
310
  ------------------------------------------
311
  -- instantiate plbv46_slave_single
312
  ------------------------------------------
313
  PLBV46_SLAVE_SINGLE_I : entity plbv46_slave_single_v1_00_a.plbv46_slave_single
314
    generic map
315
    (
316
      C_ARD_ADDR_RANGE_ARRAY         => IPIF_ARD_ADDR_RANGE_ARRAY,
317
      C_ARD_NUM_CE_ARRAY             => IPIF_ARD_NUM_CE_ARRAY,
318
      C_SPLB_P2P                     => C_SPLB_P2P,
319
      C_BUS2CORE_CLK_RATIO           => IPIF_BUS2CORE_CLK_RATIO,
320
      C_SPLB_MID_WIDTH               => C_SPLB_MID_WIDTH,
321
      C_SPLB_NUM_MASTERS             => C_SPLB_NUM_MASTERS,
322
      C_SPLB_AWIDTH                  => C_SPLB_AWIDTH,
323
      C_SPLB_DWIDTH                  => C_SPLB_DWIDTH,
324
      C_SIPIF_DWIDTH                 => IPIF_SLV_DWIDTH,
325
      C_FAMILY                       => C_FAMILY
326
    )
327
    port map
328
    (
329
      SPLB_Clk                       => SPLB_Clk,
330
      SPLB_Rst                       => SPLB_Rst,
331
      PLB_ABus                       => PLB_ABus,
332
      PLB_UABus                      => PLB_UABus,
333
      PLB_PAValid                    => PLB_PAValid,
334
      PLB_SAValid                    => PLB_SAValid,
335
      PLB_rdPrim                     => PLB_rdPrim,
336
      PLB_wrPrim                     => PLB_wrPrim,
337
      PLB_masterID                   => PLB_masterID,
338
      PLB_abort                      => PLB_abort,
339
      PLB_busLock                    => PLB_busLock,
340
      PLB_RNW                        => PLB_RNW,
341
      PLB_BE                         => PLB_BE,
342
      PLB_MSize                      => PLB_MSize,
343
      PLB_size                       => PLB_size,
344
      PLB_type                       => PLB_type,
345
      PLB_lockErr                    => PLB_lockErr,
346
      PLB_wrDBus                     => PLB_wrDBus,
347
      PLB_wrBurst                    => PLB_wrBurst,
348
      PLB_rdBurst                    => PLB_rdBurst,
349
      PLB_wrPendReq                  => PLB_wrPendReq,
350
      PLB_rdPendReq                  => PLB_rdPendReq,
351
      PLB_wrPendPri                  => PLB_wrPendPri,
352
      PLB_rdPendPri                  => PLB_rdPendPri,
353
      PLB_reqPri                     => PLB_reqPri,
354
      PLB_TAttribute                 => PLB_TAttribute,
355
      Sl_addrAck                     => Sl_addrAck,
356
      Sl_SSize                       => Sl_SSize,
357
      Sl_wait                        => Sl_wait,
358
      Sl_rearbitrate                 => Sl_rearbitrate,
359
      Sl_wrDAck                      => Sl_wrDAck,
360
      Sl_wrComp                      => Sl_wrComp,
361
      Sl_wrBTerm                     => Sl_wrBTerm,
362
      Sl_rdDBus                      => Sl_rdDBus,
363
      Sl_rdWdAddr                    => Sl_rdWdAddr,
364
      Sl_rdDAck                      => Sl_rdDAck,
365
      Sl_rdComp                      => Sl_rdComp,
366
      Sl_rdBTerm                     => Sl_rdBTerm,
367
      Sl_MBusy                       => Sl_MBusy,
368
      Sl_MWrErr                      => Sl_MWrErr,
369
      Sl_MRdErr                      => Sl_MRdErr,
370
      Sl_MIRQ                        => Sl_MIRQ,
371
      Bus2IP_Clk                     => ipif_Bus2IP_Clk,
372
      Bus2IP_Reset                   => ipif_Bus2IP_Reset,
373
      IP2Bus_Data                    => ipif_IP2Bus_Data,
374
      IP2Bus_WrAck                   => ipif_IP2Bus_WrAck,
375
      IP2Bus_RdAck                   => ipif_IP2Bus_RdAck,
376
      IP2Bus_Error                   => ipif_IP2Bus_Error,
377
      Bus2IP_Addr                    => ipif_Bus2IP_Addr,
378
      Bus2IP_Data                    => ipif_Bus2IP_Data,
379
      Bus2IP_RNW                     => ipif_Bus2IP_RNW,
380
      Bus2IP_BE                      => ipif_Bus2IP_BE,
381
      Bus2IP_CS                      => ipif_Bus2IP_CS,
382
      Bus2IP_RdCE                    => ipif_Bus2IP_RdCE,
383
      Bus2IP_WrCE                    => ipif_Bus2IP_WrCE
384
    );
385
 
386
  ------------------------------------------
387
  -- instantiate User Logic
388
  ------------------------------------------
389
  USER_LOGIC_I : entity npi_vga_v1_00_b.user_logic
390
    generic map
391
    (
392
      -- MAP USER GENERICS BELOW THIS LINE ---------------
393
      --USER generics mapped here
394
      -- MAP USER GENERICS ABOVE THIS LINE ---------------
395
 
396
      C_SLV_AWIDTH                   => USER_SLV_AWIDTH,
397
      C_SLV_DWIDTH                   => USER_SLV_DWIDTH,
398
      C_NUM_MEM                      => USER_NUM_MEM
399
    )
400
    port map
401
    (
402
      -- MAP USER PORTS BELOW THIS LINE ------------------
403
      --USER ports mapped here
404
      -- MAP USER PORTS ABOVE THIS LINE ------------------
405
      GR_DATA_O               => GR_DATA_O,
406
      GR_DATA_I0              => GR_DATA_I0,
407
      GR_DATA_I1              => GR_DATA_I1,
408
      GR_ADDR                 => GR_ADDR,
409
      GR_RNW                  => GR_RNW,
410
      GR_CS                   => GR_CS,
411
 
412
      Bus2IP_Clk                     => ipif_Bus2IP_Clk,
413
      Bus2IP_Reset                   => ipif_Bus2IP_Reset,
414
      Bus2IP_Addr                    => ipif_Bus2IP_Addr,
415
      Bus2IP_CS                      => ipif_Bus2IP_CS(USER_CS_INDEX to USER_CS_INDEX+USER_NUM_MEM-1),
416
      Bus2IP_RNW                     => ipif_Bus2IP_RNW,
417
      Bus2IP_Data                    => ipif_Bus2IP_Data,
418
      Bus2IP_BE                      => ipif_Bus2IP_BE,
419
      Bus2IP_RdCE                    => ipif_Bus2IP_RdCE,
420
      Bus2IP_WrCE                    => ipif_Bus2IP_WrCE,
421
      IP2Bus_Data                    => user_IP2Bus_Data,
422
      IP2Bus_RdAck                   => user_IP2Bus_RdAck,
423
      IP2Bus_WrAck                   => user_IP2Bus_WrAck,
424
      IP2Bus_Error                   => user_IP2Bus_Error
425
    );
426
 
427
  ------------------------------------------
428
  -- connect internal signals
429
  ------------------------------------------
430
  IP2BUS_DATA_MUX_PROC : process( ipif_Bus2IP_CS, user_IP2Bus_Data ) is
431
  begin
432
 
433
    case ipif_Bus2IP_CS is
434
      when "10" => ipif_IP2Bus_Data <= user_IP2Bus_Data;
435
      when "01" => ipif_IP2Bus_Data <= user_IP2Bus_Data;
436
      when others => ipif_IP2Bus_Data <= (others => '0');
437
    end case;
438
 
439
  end process IP2BUS_DATA_MUX_PROC;
440
 
441
  ipif_IP2Bus_WrAck <= user_IP2Bus_WrAck;
442
  ipif_IP2Bus_RdAck <= user_IP2Bus_RdAck;
443
  ipif_IP2Bus_Error <= user_IP2Bus_Error;
444
 
445
end IMP;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.