OpenCores
URL https://opencores.org/ocsvn/numbert_sort_device/numbert_sort_device/trunk

Subversion Repositories numbert_sort_device

[/] [numbert_sort_device/] [trunk/] [boards/] [DE0/] [DE0_VGA.qsf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 leshabiruk
# Copyright (C) 1991-2008 Altera Corporation
2
# Your use of Altera Corporation's design tools, logic functions
3
# and other software and tools, and its AMPP partner logic
4
# functions, and any output files from any of the foregoing
5
# (including device programming or simulation files), and any
6
# associated documentation or information are expressly subject
7
# to the terms and conditions of the Altera Program License
8
# Subscription Agreement, Altera MegaCore Function License
9
# Agreement, or other applicable license agreement, including,
10
# without limitation, that your use is for the sole purpose of
11
# programming logic devices manufactured by Altera and sold by
12
# Altera or its authorized distributors.  Please refer to the
13
# applicable agreement for further details.
14
 
15
 
16
# The default values for assignments are stored in the file
17
#               DE0_VGA_assignment_defaults.qdf
18
# If this file doesn't exist, and for assignments not listed, see file
19
#               assignment_defaults.qdf
20
 
21
# Altera recommends that you do not modify this file. This
22
# file is updated automatically by the Quartus II software
23
# and any changes you make may be lost or overwritten.
24
 
25
 
26
set_global_assignment -name FAMILY "Cyclone III"
27
set_global_assignment -name DEVICE EP3C16F484C6
28
set_global_assignment -name TOP_LEVEL_ENTITY DE0_VGA
29
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 8.0
30
set_global_assignment -name PROJECT_CREATION_TIME_DATE "07:49:21  MAY 01, 2009"
31
set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1"
32
set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_palace
33
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
34
set_global_assignment -name PARTITION_COLOR 14622752 -section_id Top
35
set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
36
set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
37
 
38
# Pin & Location Assignments
39
# ==========================
40
set_location_assignment PIN_B1 -to LEDG[9]
41
set_location_assignment PIN_B2 -to LEDG[8]
42
set_location_assignment PIN_C2 -to LEDG[7]
43
set_location_assignment PIN_C1 -to LEDG[6]
44
set_location_assignment PIN_E1 -to LEDG[5]
45
set_location_assignment PIN_F2 -to LEDG[4]
46
set_location_assignment PIN_H1 -to LEDG[3]
47
set_location_assignment PIN_J3 -to LEDG[2]
48
set_location_assignment PIN_J2 -to LEDG[1]
49
set_location_assignment PIN_J1 -to LEDG[0]
50
set_location_assignment PIN_D2 -to SW[9]
51
set_location_assignment PIN_E4 -to SW[8]
52
set_location_assignment PIN_E3 -to SW[7]
53
set_location_assignment PIN_H7 -to SW[6]
54
set_location_assignment PIN_J7 -to SW[5]
55
set_location_assignment PIN_G5 -to SW[4]
56
set_location_assignment PIN_G4 -to SW[3]
57
set_location_assignment PIN_H6 -to SW[2]
58
set_location_assignment PIN_H5 -to SW[1]
59
set_location_assignment PIN_J6 -to SW[0]
60
set_location_assignment PIN_F1 -to BUTTON[2]
61
set_location_assignment PIN_G3 -to BUTTON[1]
62
set_location_assignment PIN_H2 -to BUTTON[0]
63
set_location_assignment PIN_R2 -to FL_ADDR[21]
64
set_location_assignment PIN_P3 -to FL_ADDR[20]
65
set_location_assignment PIN_P1 -to FL_ADDR[19]
66
set_location_assignment PIN_M6 -to FL_ADDR[18]
67
set_location_assignment PIN_M5 -to FL_ADDR[17]
68
set_location_assignment PIN_AA2 -to FL_ADDR[16]
69
set_location_assignment PIN_L6 -to FL_ADDR[15]
70
set_location_assignment PIN_L7 -to FL_ADDR[14]
71
set_location_assignment PIN_M1 -to FL_ADDR[13]
72
set_location_assignment PIN_M2 -to FL_ADDR[12]
73
set_location_assignment PIN_M3 -to FL_ADDR[11]
74
set_location_assignment PIN_N1 -to FL_ADDR[10]
75
set_location_assignment PIN_N2 -to FL_ADDR[9]
76
set_location_assignment PIN_P2 -to FL_ADDR[8]
77
set_location_assignment PIN_M4 -to FL_ADDR[7]
78
set_location_assignment PIN_M8 -to FL_ADDR[6]
79
set_location_assignment PIN_N6 -to FL_ADDR[5]
80
set_location_assignment PIN_N5 -to FL_ADDR[4]
81
set_location_assignment PIN_N7 -to FL_ADDR[3]
82
set_location_assignment PIN_P6 -to FL_ADDR[2]
83
set_location_assignment PIN_P5 -to FL_ADDR[1]
84
set_location_assignment PIN_P7 -to FL_ADDR[0]
85
set_location_assignment PIN_AA1 -to FL_BYTE_N
86
set_location_assignment PIN_N8 -to FL_CE_N
87
set_location_assignment PIN_R7 -to FL_DQ[0]
88
set_location_assignment PIN_P8 -to FL_DQ[1]
89
set_location_assignment PIN_R8 -to FL_DQ[2]
90
set_location_assignment PIN_U1 -to FL_DQ[3]
91
set_location_assignment PIN_V2 -to FL_DQ[4]
92
set_location_assignment PIN_V3 -to FL_DQ[5]
93
set_location_assignment PIN_W1 -to FL_DQ[6]
94
set_location_assignment PIN_Y1 -to FL_DQ[7]
95
set_location_assignment PIN_T5 -to FL_DQ[8]
96
set_location_assignment PIN_T7 -to FL_DQ[9]
97
set_location_assignment PIN_T4 -to FL_DQ[10]
98
set_location_assignment PIN_U2 -to FL_DQ[11]
99
set_location_assignment PIN_V1 -to FL_DQ[12]
100
set_location_assignment PIN_V4 -to FL_DQ[13]
101
set_location_assignment PIN_W2 -to FL_DQ[14]
102
set_location_assignment PIN_R6 -to FL_OE_N
103
set_location_assignment PIN_R1 -to FL_RST_N
104
set_location_assignment PIN_M7 -to FL_RY
105
set_location_assignment PIN_P4 -to FL_WE_N
106
set_location_assignment PIN_T3 -to FL_WP_N
107
set_location_assignment PIN_Y2 -to FL_DQ15_AM1
108
set_location_assignment PIN_U7 -to GPIO0_D[31]
109
set_location_assignment PIN_V5 -to GPIO0_D[30]
110
set_location_assignment PIN_W6 -to GPIO0_D[29]
111
set_location_assignment PIN_W7 -to GPIO0_D[28]
112
set_location_assignment PIN_V8 -to GPIO0_D[27]
113
set_location_assignment PIN_T8 -to GPIO0_D[26]
114
set_location_assignment PIN_W10 -to GPIO0_D[25]
115
set_location_assignment PIN_Y10 -to GPIO0_D[24]
116
set_location_assignment PIN_V11 -to GPIO0_D[23]
117
set_location_assignment PIN_R10 -to GPIO0_D[22]
118
set_location_assignment PIN_V12 -to GPIO0_D[21]
119
set_location_assignment PIN_U13 -to GPIO0_D[20]
120
set_location_assignment PIN_W13 -to GPIO0_D[19]
121
set_location_assignment PIN_Y13 -to GPIO0_D[18]
122
set_location_assignment PIN_U14 -to GPIO0_D[17]
123
set_location_assignment PIN_V14 -to GPIO0_D[16]
124
set_location_assignment PIN_AA4 -to GPIO0_D[15]
125
set_location_assignment PIN_AB4 -to GPIO0_D[14]
126
set_location_assignment PIN_AA5 -to GPIO0_D[13]
127
set_location_assignment PIN_AB5 -to GPIO0_D[12]
128
set_location_assignment PIN_AA8 -to GPIO0_D[11]
129
set_location_assignment PIN_AB8 -to GPIO0_D[10]
130
set_location_assignment PIN_AA10 -to GPIO0_D[9]
131
set_location_assignment PIN_AB10 -to GPIO0_D[8]
132
set_location_assignment PIN_AA13 -to GPIO0_D[7]
133
set_location_assignment PIN_AB13 -to GPIO0_D[6]
134
set_location_assignment PIN_AB14 -to GPIO0_D[5]
135
set_location_assignment PIN_AA14 -to GPIO0_D[4]
136
set_location_assignment PIN_AB15 -to GPIO0_D[3]
137
set_location_assignment PIN_AA15 -to GPIO0_D[2]
138
set_location_assignment PIN_AA16 -to GPIO0_D[1]
139
set_location_assignment PIN_AB16 -to GPIO0_D[0]
140
set_location_assignment PIN_AB12 -to GPIO0_CLKIN[0]
141
set_location_assignment PIN_AA12 -to GPIO0_CLKIN[1]
142
set_location_assignment PIN_AB3 -to GPIO0_CLKOUT[0]
143
set_location_assignment PIN_AA3 -to GPIO0_CLKOUT[1]
144
set_location_assignment PIN_AA11 -to GPIO1_CLKIN[1]
145
set_location_assignment PIN_AB11 -to GPIO1_CLKIN[0]
146
set_location_assignment PIN_T16 -to GPIO1_CLKOUT[1]
147
set_location_assignment PIN_R16 -to GPIO1_CLKOUT[0]
148
set_location_assignment PIN_V7 -to GPIO1_D[31]
149
set_location_assignment PIN_V6 -to GPIO1_D[30]
150
set_location_assignment PIN_U8 -to GPIO1_D[29]
151
set_location_assignment PIN_Y7 -to GPIO1_D[28]
152
set_location_assignment PIN_T9 -to GPIO1_D[27]
153
set_location_assignment PIN_U9 -to GPIO1_D[26]
154
set_location_assignment PIN_T10 -to GPIO1_D[25]
155
set_location_assignment PIN_U10 -to GPIO1_D[24]
156
set_location_assignment PIN_R12 -to GPIO1_D[23]
157
set_location_assignment PIN_R11 -to GPIO1_D[22]
158
set_location_assignment PIN_T12 -to GPIO1_D[21]
159
set_location_assignment PIN_U12 -to GPIO1_D[20]
160
set_location_assignment PIN_R14 -to GPIO1_D[19]
161
set_location_assignment PIN_T14 -to GPIO1_D[18]
162
set_location_assignment PIN_AB7 -to GPIO1_D[17]
163
set_location_assignment PIN_AA7 -to GPIO1_D[16]
164
set_location_assignment PIN_AA9 -to GPIO1_D[15]
165
set_location_assignment PIN_AB9 -to GPIO1_D[14]
166
set_location_assignment PIN_V15 -to GPIO1_D[13]
167
set_location_assignment PIN_W15 -to GPIO1_D[12]
168
set_location_assignment PIN_T15 -to GPIO1_D[11]
169
set_location_assignment PIN_U15 -to GPIO1_D[10]
170
set_location_assignment PIN_W17 -to GPIO1_D[9]
171
set_location_assignment PIN_Y17 -to GPIO1_D[8]
172
set_location_assignment PIN_AB17 -to GPIO1_D[7]
173
set_location_assignment PIN_AA17 -to GPIO1_D[6]
174
set_location_assignment PIN_AA18 -to GPIO1_D[5]
175
set_location_assignment PIN_AB18 -to GPIO1_D[4]
176
set_location_assignment PIN_AB19 -to GPIO1_D[3]
177
set_location_assignment PIN_AA19 -to GPIO1_D[2]
178
set_location_assignment PIN_AB20 -to GPIO1_D[1]
179
set_location_assignment PIN_AA20 -to GPIO1_D[0]
180
set_location_assignment PIN_P22 -to PS2_KBCLK
181
set_location_assignment PIN_P21 -to PS2_KBDAT
182
set_location_assignment PIN_R21 -to PS2_MSCLK
183
set_location_assignment PIN_R22 -to PS2_MSDAT
184
set_location_assignment PIN_U22 -to UART_RXD
185
set_location_assignment PIN_U21 -to UART_TXD
186
set_location_assignment PIN_V22 -to UART_RTS
187
set_location_assignment PIN_V21 -to UART_CTS
188
set_location_assignment PIN_Y21 -to SD_CLK
189
set_location_assignment PIN_Y22 -to SD_CMD
190
set_location_assignment PIN_AA22 -to SD_DAT0
191
set_location_assignment PIN_W21 -to SD_DAT3
192
set_location_assignment PIN_W20 -to SD_WP_N
193
set_location_assignment PIN_C20 -to LCD_DATA[7]
194
set_location_assignment PIN_D20 -to LCD_DATA[6]
195
set_location_assignment PIN_B21 -to LCD_DATA[5]
196
set_location_assignment PIN_B22 -to LCD_DATA[4]
197
set_location_assignment PIN_C21 -to LCD_DATA[3]
198
set_location_assignment PIN_C22 -to LCD_DATA[2]
199
set_location_assignment PIN_D21 -to LCD_DATA[1]
200
set_location_assignment PIN_D22 -to LCD_DATA[0]
201
set_location_assignment PIN_E22 -to LCD_RW
202
set_location_assignment PIN_F22 -to LCD_RS
203
set_location_assignment PIN_E21 -to LCD_EN
204
set_location_assignment PIN_F21 -to LCD_BLON
205
set_location_assignment PIN_J21 -to VGA_G[3]
206
set_location_assignment PIN_K17 -to VGA_G[2]
207
set_location_assignment PIN_J17 -to VGA_G[1]
208
set_location_assignment PIN_H22 -to VGA_G[0]
209
set_location_assignment PIN_L21 -to VGA_HS
210
set_location_assignment PIN_L22 -to VGA_VS
211
set_location_assignment PIN_H21 -to VGA_R[3]
212
set_location_assignment PIN_H20 -to VGA_R[2]
213
set_location_assignment PIN_H17 -to VGA_R[1]
214
set_location_assignment PIN_H19 -to VGA_R[0]
215
set_location_assignment PIN_K18 -to VGA_B[3]
216
set_location_assignment PIN_J22 -to VGA_B[2]
217
set_location_assignment PIN_K21 -to VGA_B[1]
218
set_location_assignment PIN_K22 -to VGA_B[0]
219
set_location_assignment PIN_G21 -to CLOCK_50
220
set_location_assignment PIN_E11 -to HEX0_D[0]
221
set_location_assignment PIN_F11 -to HEX0_D[1]
222
set_location_assignment PIN_H12 -to HEX0_D[2]
223
set_location_assignment PIN_H13 -to HEX0_D[3]
224
set_location_assignment PIN_G12 -to HEX0_D[4]
225
set_location_assignment PIN_F12 -to HEX0_D[5]
226
set_location_assignment PIN_F13 -to HEX0_D[6]
227
set_location_assignment PIN_D13 -to HEX0_DP
228
set_location_assignment PIN_A15 -to HEX1_D[6]
229
set_location_assignment PIN_E14 -to HEX1_D[5]
230
set_location_assignment PIN_B14 -to HEX1_D[4]
231
set_location_assignment PIN_A14 -to HEX1_D[3]
232
set_location_assignment PIN_C13 -to HEX1_D[2]
233
set_location_assignment PIN_B13 -to HEX1_D[1]
234
set_location_assignment PIN_A13 -to HEX1_D[0]
235
set_location_assignment PIN_B15 -to HEX1_DP
236
set_location_assignment PIN_F14 -to HEX2_D[6]
237
set_location_assignment PIN_B17 -to HEX2_D[5]
238
set_location_assignment PIN_A17 -to HEX2_D[4]
239
set_location_assignment PIN_E15 -to HEX2_D[3]
240
set_location_assignment PIN_B16 -to HEX2_D[2]
241
set_location_assignment PIN_A16 -to HEX2_D[1]
242
set_location_assignment PIN_D15 -to HEX2_D[0]
243
set_location_assignment PIN_A18 -to HEX2_DP
244
set_location_assignment PIN_G15 -to HEX3_D[6]
245
set_location_assignment PIN_D19 -to HEX3_D[5]
246
set_location_assignment PIN_C19 -to HEX3_D[4]
247
set_location_assignment PIN_B19 -to HEX3_D[3]
248
set_location_assignment PIN_A19 -to HEX3_D[2]
249
set_location_assignment PIN_F15 -to HEX3_D[1]
250
set_location_assignment PIN_B18 -to HEX3_D[0]
251
set_location_assignment PIN_G16 -to HEX3_DP
252
set_location_assignment PIN_G8 -to DRAM_CAS_N
253
set_location_assignment PIN_G7 -to DRAM_CS_N
254
set_location_assignment PIN_E5 -to DRAM_CLK
255
set_location_assignment PIN_E6 -to DRAM_CKE
256
set_location_assignment PIN_B5 -to DRAM_BA_0
257
set_location_assignment PIN_A4 -to DRAM_BA_1
258
set_location_assignment PIN_F10 -to DRAM_DQ[15]
259
set_location_assignment PIN_E10 -to DRAM_DQ[14]
260
set_location_assignment PIN_A10 -to DRAM_DQ[13]
261
set_location_assignment PIN_B10 -to DRAM_DQ[12]
262
set_location_assignment PIN_C10 -to DRAM_DQ[11]
263
set_location_assignment PIN_A9 -to DRAM_DQ[10]
264
set_location_assignment PIN_B9 -to DRAM_DQ[9]
265
set_location_assignment PIN_A8 -to DRAM_DQ[8]
266
set_location_assignment PIN_F8 -to DRAM_DQ[7]
267
set_location_assignment PIN_H9 -to DRAM_DQ[6]
268
set_location_assignment PIN_G9 -to DRAM_DQ[5]
269
set_location_assignment PIN_F9 -to DRAM_DQ[4]
270
set_location_assignment PIN_E9 -to DRAM_DQ[3]
271
set_location_assignment PIN_H10 -to DRAM_DQ[2]
272
set_location_assignment PIN_G10 -to DRAM_DQ[1]
273
set_location_assignment PIN_D10 -to DRAM_DQ[0]
274
set_location_assignment PIN_E7 -to DRAM_LDQM
275
set_location_assignment PIN_B8 -to DRAM_UDQM
276
set_location_assignment PIN_F7 -to DRAM_RAS_N
277
set_location_assignment PIN_D6 -to DRAM_WE_N
278
set_location_assignment PIN_B12 -to CLOCK_50_2
279
set_location_assignment PIN_C8 -to DRAM_ADDR[12]
280
set_location_assignment PIN_A7 -to DRAM_ADDR[11]
281
set_location_assignment PIN_B4 -to DRAM_ADDR[10]
282
set_location_assignment PIN_B7 -to DRAM_ADDR[9]
283
set_location_assignment PIN_C7 -to DRAM_ADDR[8]
284
set_location_assignment PIN_A6 -to DRAM_ADDR[7]
285
set_location_assignment PIN_B6 -to DRAM_ADDR[6]
286
set_location_assignment PIN_C6 -to DRAM_ADDR[5]
287
set_location_assignment PIN_A5 -to DRAM_ADDR[4]
288
set_location_assignment PIN_C3 -to DRAM_ADDR[3]
289
set_location_assignment PIN_B3 -to DRAM_ADDR[2]
290
set_location_assignment PIN_A3 -to DRAM_ADDR[1]
291
set_location_assignment PIN_C4 -to DRAM_ADDR[0]
292
 
293
 
294
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to BUTTON[2]
295
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to BUTTON[1]
296
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to BUTTON[0]
297
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[2]
298
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[3]
299
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[4]
300
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[5]
301
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[6]
302
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[7]
303
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[8]
304
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[9]
305
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[10]
306
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[11]
307
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[12]
308
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[13]
309
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[14]
310
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[15]
311
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CS_N
312
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CLK
313
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CKE
314
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CAS_N
315
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA_1
316
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA_0
317
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[0]
318
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[1]
319
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[2]
320
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[3]
321
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[4]
322
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[5]
323
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[6]
324
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[7]
325
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[8]
326
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[9]
327
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[10]
328
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[11]
329
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[12]
330
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK_50_2
331
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK_50
332
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_CE_N
333
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_BYTE_N
334
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[0]
335
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[1]
336
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[2]
337
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[3]
338
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[4]
339
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[5]
340
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[6]
341
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[7]
342
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[8]
343
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[9]
344
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[10]
345
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[11]
346
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[12]
347
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[13]
348
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[14]
349
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[15]
350
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[16]
351
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[17]
352
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[18]
353
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[19]
354
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[20]
355
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[21]
356
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_WE_N
357
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_UDQM
358
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_RAS_N
359
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_LDQM
360
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[0]
361
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[1]
362
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[28]
363
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[29]
364
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[30]
365
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[31]
366
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_CLKOUT[0]
367
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_CLKOUT[1]
368
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_CLKIN[0]
369
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_CLKIN[1]
370
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[0]
371
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[1]
372
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[2]
373
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[3]
374
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[4]
375
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[5]
376
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[6]
377
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[7]
378
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[8]
379
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[9]
380
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[10]
381
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[11]
382
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[12]
383
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[13]
384
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[14]
385
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[15]
386
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[16]
387
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[17]
388
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[18]
389
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[19]
390
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[20]
391
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[21]
392
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[22]
393
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[23]
394
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[24]
395
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[25]
396
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[26]
397
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[27]
398
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[28]
399
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[29]
400
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[30]
401
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_D[31]
402
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_CLKOUT[0]
403
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_CLKOUT[1]
404
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_CLKIN[0]
405
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_CLKIN[1]
406
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_WP_N
407
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_WE_N
408
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_RY
409
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_RST_N
410
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_OE_N
411
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ15_AM1
412
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[0]
413
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[1]
414
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[2]
415
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[3]
416
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[4]
417
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[5]
418
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[6]
419
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[7]
420
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[8]
421
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[9]
422
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[10]
423
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[11]
424
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[12]
425
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[13]
426
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[14]
427
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0_D[2]
428
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0_D[3]
429
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0_D[4]
430
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0_D[5]
431
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0_D[6]
432
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[0]
433
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[1]
434
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[2]
435
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[3]
436
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[4]
437
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[5]
438
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[6]
439
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[7]
440
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[8]
441
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[9]
442
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[10]
443
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[11]
444
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[12]
445
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[13]
446
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[14]
447
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[15]
448
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[16]
449
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[17]
450
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[18]
451
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[19]
452
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[20]
453
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[21]
454
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[22]
455
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[23]
456
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[24]
457
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[25]
458
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[26]
459
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_D[27]
460
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[3]
461
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[4]
462
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[5]
463
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[6]
464
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[7]
465
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_BLON
466
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3_DP
467
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3_D[0]
468
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3_D[1]
469
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3_D[2]
470
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3_D[3]
471
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3_D[4]
472
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3_D[5]
473
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3_D[6]
474
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2_DP
475
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2_D[0]
476
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2_D[1]
477
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2_D[2]
478
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2_D[3]
479
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2_D[4]
480
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2_D[5]
481
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2_D[6]
482
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1_DP
483
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1_D[0]
484
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1_D[1]
485
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1_D[2]
486
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1_D[3]
487
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1_D[4]
488
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1_D[5]
489
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1_D[6]
490
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0_DP
491
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0_D[0]
492
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0_D[1]
493
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_CTS
494
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[0]
495
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[1]
496
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[2]
497
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[3]
498
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[4]
499
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[5]
500
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[6]
501
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[7]
502
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[8]
503
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[9]
504
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_WP_N
505
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT3
506
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT0
507
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_CMD
508
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_CLK
509
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_MSDAT
510
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_MSCLK
511
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_KBDAT
512
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_KBCLK
513
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[0]
514
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[1]
515
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[2]
516
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[3]
517
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[4]
518
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[5]
519
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[6]
520
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[7]
521
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[8]
522
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[9]
523
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_RW
524
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_RS
525
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_EN
526
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[0]
527
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[1]
528
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[2]
529
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_VS
530
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[0]
531
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[1]
532
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[2]
533
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[3]
534
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_HS
535
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[0]
536
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[1]
537
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[2]
538
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[3]
539
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[0]
540
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[1]
541
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[2]
542
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[3]
543
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_TXD
544
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_RXD
545
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_RTS
546
set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "AS INPUT TRI-STATED"
547
set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO"
548
set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS ON
549
set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL ON
550
set_global_assignment -name MISC_FILE "E:/DE0/DE0_VGA/DE0_VGA.dpf"
551
set_global_assignment -name MISC_FILE "D:/TERASIC_TEST/DE0/DE0_VGA/DE0_VGA.dpf"
552
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
553
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "2.5 V"
554
set_global_assignment -name VERILOG_FILE ../../test_vga.v
555
set_global_assignment -name SYSTEMVERILOG_FILE ../../main/tree_sorter.sv
556
set_global_assignment -name SYSTEMVERILOG_FILE ../../main/stack_sorter.sv
557
set_global_assignment -name SYSTEMVERILOG_FILE ../../main/high_end.sv
558
set_global_assignment -name SYSTEMVERILOG_FILE ../../main/dynamic_tree.sv
559
set_global_assignment -name VERILOG_FILE ../../utility/VGA_Ctrl.v
560
set_global_assignment -name VERILOG_FILE ../../utility/SEG7_LUT.v
561
set_global_assignment -name VERILOG_FILE ../../altera/VGA_CLK.v
562
set_global_assignment -name VERILOG_FILE DE0_VGA.v
563
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.