OpenCores
URL https://opencores.org/ocsvn/numbert_sort_device/numbert_sort_device/trunk

Subversion Repositories numbert_sort_device

[/] [numbert_sort_device/] [trunk/] [boards/] [marsohod2/] [mars_vga.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 leshabiruk
 
2
 
3
module MARS_VGA(
4
        CLK100MHZ,
5
        FTDI_BD0,
6
        FTDI_BD2,
7
        FTDI_BD3,
8
        KEY0,
9
        KEY1,
10
        DATA0,
11
        ADC_D,
12
        VGA_HSYNC,
13
        VGA_VSYNC,
14
        SDRAM_LDQM,
15
        SDRAM_UDQM,
16
        SDRAM_CLK,
17
        SDRAM_RAS,
18
        SDRAM_CAS,
19
        SDRAM_WE,
20
        ADC_CLK,
21
        FTDI_BD1,
22
        SDRAM_BA0,
23
        SDRAM_BA1,
24
        DCLK,
25
        NCSO,
26
        ASDO,
27
        IO,
28
        LED,
29
        SDRAM_A,
30
        SDRAM_DQ,
31
        VGA_BLUE,
32
        VGA_GREEN,
33
        VGA_RED
34
);
35
 
36
 
37
input wire      CLK100MHZ;
38
input wire      FTDI_BD0;
39
input wire      FTDI_BD2;
40
input wire      FTDI_BD3;
41
input wire      KEY0;
42
input wire      KEY1;
43
input wire      DATA0;
44
input wire      [7:0] ADC_D;
45
output wire     VGA_HSYNC;
46
output wire     VGA_VSYNC;
47
output wire     SDRAM_LDQM;
48
output wire     SDRAM_UDQM;
49
output wire     SDRAM_CLK;
50
output wire     SDRAM_RAS;
51
output wire     SDRAM_CAS;
52
output wire     SDRAM_WE;
53
output wire     ADC_CLK;
54
output wire     FTDI_BD1;
55
output wire     SDRAM_BA0;
56
output wire     SDRAM_BA1;
57
output wire     DCLK;
58
output wire     NCSO;
59
output wire     ASDO;
60
output wire     [15:0] IO;
61
output wire     [3:0] LED;
62
output wire     [11:0] SDRAM_A;
63
inout wire      [15:0] SDRAM_DQ;
64
output wire     [4:0] VGA_BLUE;
65
output wire     [5:0] VGA_GREEN;
66
output wire     [4:0] VGA_RED;
67
 
68
wire    [31:0] q;
69
wire    serial_RX;
70
wire    serial_TX;
71
 
72
assign                  LED                     =       4'h0;
73
 
74
wire                    VGA_CTRL_CLK;
75
wire    [11:0]   mVGA_X;
76
wire    [11:0]   mVGA_Y;
77
wire    [9:0]    mVGA_R;
78
wire    [9:0]    mVGA_G;
79
wire    [9:0]    mVGA_B;
80
 
81
wire    [9:0]    sVGA_R;
82
wire    [9:0]    sVGA_G;
83
wire    [9:0]    sVGA_B;
84
assign  VGA_RED =       sVGA_R[7:3];
85
assign  VGA_GREEN=      sVGA_G[7:2];
86
assign  VGA_BLUE        =       sVGA_B[7:3];
87
 
88
//=======================================================
89
//  Structural coding
90
//=======================================================
91
 
92
////////////////////////        VGA                     ////////////////////////////
93
 
94
 
95
VGA_CLK         u1_1240x1024
96
                (       .inclk0(CLK100MHZ),
97
                        .c0(VGA_CTRL_CLK)
98
                );
99
                defparam u1_1240x1024.PLL_MUL= 27;
100
                defparam u1_1240x1024.PLL_DIV= 25;
101
 
102
 
103
VGA_Ctrl        u2_1240x1024
104
                (       //      Host Side
105
                        .oCurrent_X(mVGA_X),
106
                        .oCurrent_Y(mVGA_Y),
107
                        .iRed(mVGA_R),
108
                        .iGreen(mVGA_G),
109
                        .iBlue(mVGA_B),
110
                        //      VGA Side
111
                        .oVGA_R(sVGA_R),
112
                        .oVGA_G(sVGA_G),
113
                        .oVGA_B(sVGA_B),
114
                        .oVGA_HS(VGA_HSYNC),
115
                        .oVGA_VS(VGA_VSYNC),
116
                        .oVGA_SYNC(),
117
                        .oVGA_BLANK(),
118
                        .oVGA_CLOCK(),
119
                        //      Control Signal
120
                        .iCLK(VGA_CTRL_CLK),
121
                        .iRST_N( KEY0 ),
122
                        .les_btn(0)
123
                );
124
                defparam        u2_1240x1024.H_FRONT    =       48;
125
                defparam        u2_1240x1024.H_SYNC     =       112;
126
                defparam        u2_1240x1024.H_BACK     =       248;
127
                defparam        u2_1240x1024.H_ACT      =       1280;
128
                defparam        u2_1240x1024.V_FRONT    =       1;
129
                defparam        u2_1240x1024.V_SYNC     =       3;
130
                defparam        u2_1240x1024.V_BACK     =       38;
131
                defparam        u2_1240x1024.V_ACT      =       1024;
132
 
133
wire [63:0] dbg_val;
134
 
135
VGA_Pattern     u3
136
                (       //      Read Out Side
137
                        .oRed(mVGA_R),
138
                        .oGreen(mVGA_G),
139
                        .oBlue(mVGA_B),
140
                        .iVGA_X(mVGA_X),
141
                        .iVGA_Y(mVGA_Y),
142
                        .iVGA_CLK(VGA_CTRL_CLK),
143
                        //      Control Signals
144
                        .iRST_n( KEY0 ),
145
                        .iColor_SW( 0 ),
146
                        .endFrame(VGA_VSYNC),
147
                        .dbg_val(dbg_val)
148
                );
149
 
150
 
151
endmodule
152
 
153
 
154
 
155
//assign        SDRAM_LDQM = 0;
156
//assign        SDRAM_UDQM = 0;
157
//assign        SDRAM_CLK = 0;
158
//assign        SDRAM_RAS = 0;
159
//assign        SDRAM_CAS = 0;
160
//assign        SDRAM_WE = 0;
161
//assign        ADC_CLK = 0;
162
//assign        SDRAM_BA0 = 0;
163
//assign        SDRAM_BA1 = 0;
164
//assign        DCLK = 0;
165
//assign        NCSO = 0;
166
//assign        ASDO = 0;
167
//assign        IO = 16'b0000000000000000;
168
//assign        SDRAM_A = 12'b000000000000;
169
//assign        SDRAM_DQ = 16'b0000000000000000;
170
//
171
//
172
//
173
//
174
//
175
//hvsync        b2v_inst1(
176
//      .pixel_clock(SYNTHESIZED_WIRE_0),
177
//      .hsync(VGA_HSYNC),
178
//      .vsync(VGA_VSYNC),
179
//      .b(VGA_BLUE),
180
//      .g(VGA_GREEN),
181
//      .r(VGA_RED));
182
//      defparam        b2v_inst1.horz_addr_time = 1280;
183
//      defparam        b2v_inst1.horz_back_porch = 248;
184
//      defparam        b2v_inst1.horz_front_porch = 48;
185
//      defparam        b2v_inst1.horz_sync = 112;
186
//      defparam        b2v_inst1.vert_addr_time = 1024;
187
//      defparam        b2v_inst1.vert_back_porch = 38;
188
//      defparam        b2v_inst1.vert_front_porch = 1;
189
//      defparam        b2v_inst1.vert_sync = 3;
190
//
191
//
192
//
193
//
194
//lpm_counter_0 b2v_inst15(
195
//      .clock(CLK100MHZ),
196
//      .aclr(SYNTHESIZED_WIRE_1),
197
//      .cnt_en(KEY1),
198
//      .q(q));
199
//
200
//
201
//assign        serial_TX = serial_RX;
202
//
203
//
204
//
205
//
206
//
207
//altpll0       b2v_inst5(
208
//      .inclk0(CLK100MHZ),
209
//      .c0(SYNTHESIZED_WIRE_0));
210
//
211
//assign        SYNTHESIZED_WIRE_1 =  ~KEY0;
212
//
213
//assign        FTDI_BD1 = serial_TX;
214
//assign        serial_RX = FTDI_BD0;
215
//assign        LED[3:0] = q[25:22];
216
//
217
//endmodule
218
//
219
//module lpm_counter_0(clock,aclr,cnt_en,q);
220
///* synthesis black_box */
221
//
222
//input clock;
223
//input aclr;
224
//input cnt_en;
225
//output [31:0] q;
226
//
227
//endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.