OpenCores
URL https://opencores.org/ocsvn/ofdm/ofdm/trunk

Subversion Repositories ofdm

[/] [ofdm/] [branches/] [avendor/] [cfft4.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 tmsiqueira
---------------------------------------------------------------------------------------------------
2
--
3
-- Title       : cfft4
4
-- Design      : cfft
5
-- Author      : ZHAO Ming
6
-- email        : sradio@opencores.org
7
--
8
---------------------------------------------------------------------------------------------------
9
--
10
-- File        : cfft4.vhd
11
-- Generated   : Wed Oct  2 15:49:06 2002
12
--
13
---------------------------------------------------------------------------------------------------
14
--
15
-- Description : 4 point fft
16
--
17
---------------------------------------------------------------------------------------------------
18
--
19
-- Revisions       :    0
20
-- Revision Number :    1
21
-- Version         :    1.1.0
22
-- Date            :    Oct 17 2002
23
-- Modifier        :    ZHAO Ming 
24
-- Desccription    :    Data width configurable 
25
--
26
---------------------------------------------------------------------------------------------------
27
 
28
library IEEE;
29
use IEEE.STD_LOGIC_1164.all;
30
use IEEE.STD_LOGIC_ARITH.all;
31
use IEEE.STD_LOGIC_SIGNED.all;
32
 
33
 
34
entity cfft4 is
35
        generic (
36
                WIDTH : Natural
37
        );
38
         port(
39
                 clk : in STD_LOGIC;
40
                 rst : in STD_LOGIC;
41
                 start : in STD_LOGIC;
42
                 invert : in std_logic;
43
                 I : in STD_LOGIC_VECTOR(WIDTH-1 downto 0);
44
                 Q : in STD_LOGIC_VECTOR(WIDTH-1 downto 0);
45
                 Iout : out STD_LOGIC_VECTOR(WIDTH+1 downto 0);
46
                 Qout : out STD_LOGIC_VECTOR(WIDTH+1 downto 0)
47
             );
48
end cfft4;
49
 
50
 
51
architecture cfft4 of cfft4 is
52
type RegAtype is array (3 downto 0) of std_logic_vector(WIDTH-1 downto 0);
53
type RegBtype is array (3 downto 0) of std_logic_vector(WIDTH downto 0);
54
 
55
signal counter : std_logic_vector( 1 downto 0 ):="00";
56
signal RegAI,RegAQ : RegAtype;
57
signal RegBI,RegBQ : RegBtype;
58
begin
59
 
60
 
61
count:process( clk,rst )
62
begin
63
        if rst='1' then
64
                counter<="00";
65
        elsif clk'event and clk='1' then
66
                if start='1' then
67
                        counter<="00";
68
                else
69
                        counter<=counter+1;
70
                end if;
71
        end if;
72
end process count;
73
 
74
 
75
-------------------------------------------------------------------------
76
--0 rA(0)<=A0 rB(1)<=rA(0)-rA(2) rB(2)<=rA(1)+rA(3)             B3<=rB(1)-rB(3)--
77
--1 rA(1)<=A1 rB(3)<=(-j)*(rA(1)-rA(3))                                 B0<=rB(0)+rB(2)--
78
--2 rA(2)<=A2                                                                                   B1<=rB(1)+rB(3)--
79
--3 rA(3)<=A3 rB(0)<=rA(0)+rA(2)                                                B2<=rB(0)-rB(2)--
80
-------------------------------------------------------------------------
81
calculate:process( clk )
82
begin
83
        if clk'event and clk='1' then
84
                case counter is
85
--0 rA(0)<=A0 rB(1)<=rA(0)-rA(2) rB(2)<=rA(1)+rA(3)             B3<=rB(1)-rB(3)--
86
                        when "00" =>
87
                                RegAI(0)<=I;
88
                                RegAQ(0)<=Q;
89
                                RegBI(1)<=SXT(RegAI(0),WIDTH+1)-SXT(RegAI(2),WIDTH+1);
90
                                RegBQ(1)<=SXT(RegAQ(0),WIDTH+1)-SXT(RegAQ(2),WIDTH+1);
91
                                RegBI(2)<=SXT(RegAI(1),WIDTH+1)+SXT(RegAI(3),WIDTH+1);
92
                                RegBQ(2)<=SXT(RegAQ(1),WIDTH+1)+SXT(RegAQ(3),WIDTH+1);
93
                                Iout<=SXT(RegBI(1),WIDTH+2)-SXT(RegBI(3),WIDTH+2);
94
                                Qout<=SXT(RegBQ(1),WIDTH+2)-SXT(RegBQ(3),WIDTH+2);
95
--1 rA(1)<=A1 rB(3)<=(-j)*(rA(1)-rA(3))                                 B0<=rB(0)+rB(2)--
96
                        when "01" =>
97
                                RegAI(1)<=I;
98
                                RegAQ(1)<=Q;
99
                                if invert='0' then
100
                                        -- for fft *(-j)
101
                                        RegBI(3)<=SXT(RegAQ(1),WIDTH+1)-SXT(RegAQ(3),WIDTH+1);
102
                                        RegBQ(3)<=SXT(RegAI(3),WIDTH+1)-SXT(RegAI(1),WIDTH+1);
103
                                else
104
                                        -- for fft *(j)
105
                                        RegBI(3)<=SXT(RegAQ(3),WIDTH+1)-SXT(RegAQ(1),WIDTH+1);
106
                                        RegBQ(3)<=SXT(RegAI(1),WIDTH+1)-SXT(RegAI(3),WIDTH+1);
107
                                end if;
108
                                Iout<=SXT(RegBI(0),WIDTH+2)+SXT(RegBI(2),WIDTH+2);
109
                                Qout<=SXT(RegBQ(0),WIDTH+2)+SXT(RegBQ(2),WIDTH+2);
110
--2 rA(2)<=A2                                                                                   B1<=rB(1)+rB(3)--
111
                        when "10" =>
112
                                RegAI(2)<=I;
113
                                RegAQ(2)<=Q;
114
                                Iout<=SXT(RegBI(1),WIDTH+2)+SXT(RegBI(3),WIDTH+2);
115
                                Qout<=SXT(RegBQ(1),WIDTH+2)+SXT(RegBQ(3),WIDTH+2);
116
--3 rA(3)<=A3 rB(0)<=rA(0)+rA(2)                                                B2<=rB(0)-rB(2)--
117
                        when "11" =>
118
                                RegAI(3)<=I;
119
                                RegAQ(3)<=Q;
120
                                RegBI(0)<=SXT(RegAI(0),WIDTH+1)+SXT(RegAI(2),WIDTH+1);
121
                                RegBQ(0)<=SXT(RegAQ(0),WIDTH+1)+SXT(RegAQ(2),WIDTH+1);
122
                                Iout<=SXT(RegBI(0),WIDTH+2)-SXT(RegBI(2),WIDTH+2);
123
                                Qout<=SXT(RegBQ(0),WIDTH+2)-SXT(RegBQ(2),WIDTH+2);
124
                        when others => null;
125
                end case;
126
        end if;
127
end process calculate;
128
end cfft4;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.