OpenCores
URL https://opencores.org/ocsvn/ofdm/ofdm/trunk

Subversion Repositories ofdm

[/] [ofdm/] [branches/] [avendor/] [conj.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 tmsiqueira
-------------------------------------------------------------------------------
2
-- Title      : Conj.vhd
3
-- Project    : 
4
-------------------------------------------------------------------------------
5
-- File       : invsignal.vhd
6
-- Author     : 
7
-- Company    : 
8
-- Created    : 2003-11-28
9
-- Last update: 2003-12-05
10
-- Platform   : 
11
-------------------------------------------------------------------------------
12
-- Description: Faz o conjugado do sinal de entrada
13
-------------------------------------------------------------------------------
14
-- Copyright (c) 2003 
15
-------------------------------------------------------------------------------
16
-- Revisions  :
17
-- Date        Version  Author  Description
18
-- 2003-11-28  1.0      tmsiqueira      Created
19
-------------------------------------------------------------------------------
20
 
21
 
22
library IEEE;
23
use IEEE.STD_LOGIC_1164.ALL;
24
use IEEE.STD_LOGIC_ARITH.ALL;
25
use IEEE.STD_LOGIC_SIGNED.ALL;
26
 
27
--  Uncomment the following lines to use the declarations that are
28
--  provided for instantiating Xilinx primitive components.
29
--library UNISIM;
30
--use UNISIM.VComponents.all;
31
 
32
entity conj is
33
generic (
34
      width : natural);
35
 
36
    port (
37
      inR : in  std_logic_vector(WIDTH-1 downto 0);
38
      inI : in  std_logic_vector(WIDTH-1 downto 0);
39
      outR : out std_logic_vector(WIDTH-1 downto 0);
40
      outI : out std_logic_vector(WIDTH-1 downto 0);
41
                clk  : in  std_logic;
42
      conj  : in  std_logic);
43
 
44
end conj;
45
 
46
architecture conj of conj is
47
 
48
begin
49
 
50
   process(clk)
51
        begin
52
           if clk'event and clk='1' then
53
                   case conj is
54
                           when '0' =>
55
                                   outR <= inR;
56
                                        outI <= inI;
57
                                when '1' =>
58
                                   outR <= inR;
59
                                        outI <= 0-inI;
60
                                when others =>
61
                                   null;
62
                        end case;
63
                end if;
64
        end process;
65
 
66
end conj;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.