OpenCores
URL https://opencores.org/ocsvn/ofdm/ofdm/trunk

Subversion Repositories ofdm

[/] [ofdm/] [branches/] [avendor/] [inv_control.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 tmsiqueira
library IEEE;
2
use IEEE.STD_LOGIC_1164.all;
3
use IEEE.STD_LOGIC_ARITH.all;
4
use IEEE.STD_LOGIC_UNSIGNED.all;
5
 
6
entity inv_control is
7
  generic (
8
    stage : natural:=3);
9
 
10
  port (
11
    clk       : in  std_logic;
12
    rst       : in  std_logic;
13
    Gen_state : in  std_logic_vector(2*stage+2 downto 0);
14
    inv       : out std_logic);
15
end inv_control;
16
 
17
architecture inv_control of inv_control is
18
 
19
  alias state   : std_logic_vector(2 downto 0) is Gen_state(2*stage+2 downto 2*stage);
20
  alias counter : std_logic_vector(2*stage-1 downto 0) is Gen_state(2*stage-1 downto 0);
21
 
22
begin
23
 
24
  process (clk, rst)
25
  begin  -- process
26
    if rst = '1' then                   -- asynchronous reset (active low)
27
      inv <= '0';
28
    elsif clk'event and clk = '1' then  -- rising clock edge
29
      if (unsigned(state) = 0) or (unsigned(state) = 1 and unsigned(counter)< 4) then
30
        inv <= not(counter(1));
31
      else
32
                  inv <= '0';
33
      end if;
34
    end if;
35
  end process;
36
 
37
end inv_control;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.