OpenCores
URL https://opencores.org/ocsvn/ofdm/ofdm/trunk

Subversion Repositories ofdm

[/] [ofdm/] [branches/] [avendor/] [modem.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 tmsiqueira
library IEEE;
2
use IEEE.STD_LOGIC_1164.all;
3
use IEEE.STD_LOGIC_ARITH.all;
4
use IEEE.STD_LOGIC_UNSIGNED.all;
5
 
6
--  Uncomment the following lines to use the declarations that are
7
--  provided for instantiating Xilinx primitive components.
8
--library UNISIM;
9
--use UNISIM.VComponents.all;
10
 
11
entity modem is
12
  port ( clk            : in  std_logic;
13
         rsti            : in  std_logic;
14
         rxserial       : in  std_logic;
15
         txserial       : out std_logic;
16
         pmem_ready     : out std_logic;
17
         pIin           : out std_logic_vector(13 downto 0);
18
         pmem_block     : out std_logic;
19
         pOutput_enable : out std_logic;
20
         pwen           : out std_logic;
21
         paddress_read  : out std_logic_vector(5 downto 0);
22
         paddress_write : out std_logic_vector(6 downto 0);
23
         Iout_rx          : out std_logic_vector(13 downto 0);
24
         Qout_rx          : out std_logic_vector(13 downto 0);
25
         Output_enable_rx : out std_logic;
26
         addrout_out_rx   : out std_logic_vector(5 downto 0);
27
         mem_block_tx : out std_logic;
28
         mem_ready_tx : out std_logic;
29
         wen_tx       : out std_logic;
30
         address_tx   : out std_logic_vector (5 downto 0);
31
         i_tx         : out std_logic_vector(11 downto 0);
32
         q_tx         : out std_logic_vector(11 downto 0)
33
         );
34
end modem;
35
 
36
architecture modem of modem is
37
 
38
  component txmodem
39
    port (
40
      clk           : in  std_logic;
41
      rst           : in  std_logic;
42
      serial        : in  std_logic;
43
      Iout          : out std_logic_vector(13 downto 0);
44
      Output_enable : out std_logic;
45
      addrout_out   : in  std_logic_vector(5 downto 0)
46
      );
47
  end component;
48
 
49
  component txrx
50
    port (
51
      clk           : in  std_logic;
52
      rst           : in  std_logic;
53
      Output_enable : in  std_logic;
54
      mem_block     : in  std_logic;
55
      mem_ready     : out std_logic;
56
      wen           : out std_logic;
57
      address_read : out std_logic_vector(5 downto 0);
58
      address_write: out std_logic_vector(6 downto 0)
59
      );
60
  end component;
61
 
62
  component rxmodem
63
    port (
64
      clk : in std_logic;
65
      rst : in std_logic;
66
      mem_ready     : in  std_logic;
67
      Iin           : in  std_logic_vector(11 downto 0);
68
      mem_block     : out std_logic;
69
      wen           : in  std_logic;
70
      addrin_in     : in  std_logic_vector(6 downto 0);
71
      txserial : out std_logic
72
      );
73
  end component;
74
 
75
   component BUFGP
76
         port (I: in std_logic; O: out std_logic);
77
   end component;
78
   signal rst:  std_logic;
79
 
80
 
81
  signal mem_ready     : std_logic;
82
  signal Iin           : std_logic_vector(13 downto 0);
83
  signal mem_block     : std_logic;
84
  signal Output_enable : std_logic;
85
  signal wen           : std_logic;
86
  signal address_read  : std_logic_vector(5 downto 0);
87
  signal address_write : std_logic_vector(6 downto 0);
88
 
89
begin
90
   U1:  BUFGP port map (I => rsti, O => rst);
91
 
92
 
93
  txmodem_1 : txmodem
94
    port map (
95
      clk           => clk,
96
      rst           => rst,
97
      serial        => rxserial,
98
      Iout          => Iin,
99
      Output_enable => Output_enable,
100
      addrout_out   => address_read
101
      );
102
 
103
  txrx_1 : txrx
104
    port map (
105
      clk           => clk,
106
      rst           => rst,
107
      Output_enable => Output_enable,
108
      mem_block     => mem_block,
109
      mem_ready     => mem_ready,
110
      wen           => wen,
111
      address_read       => address_read,
112
      address_write       => address_write);
113
 
114
  rxmodem_1 : rxmodem
115
    port map (
116
      clk           => clk,
117
      rst           => rst,
118
      mem_ready     => mem_ready,
119
      Iin           => Iin(13 downto 2),
120
      mem_block     => mem_block,
121
      wen           => wen,
122
      addrin_in     => address_write,
123
      txserial      => txserial
124
      );
125
 
126
end modem;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.