OpenCores
URL https://opencores.org/ocsvn/ofdm/ofdm/trunk

Subversion Repositories ofdm

[/] [ofdm/] [branches/] [avendor/] [mux.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 tmsiqueira
-------------------------------------------------------------------------------
2
-- Title      : mux
3
-- Project    : 
4
-------------------------------------------------------------------------------
5
-- File       : mux.vhd
6
-- Author     : 
7
-- Company    : 
8
-- Created    : 2003-11-28
9
-- Last update: 2003-12-05
10
-- Platform   : 
11
-------------------------------------------------------------------------------
12
-- Description: Multiplixador de 2-1
13
-------------------------------------------------------------------------------
14
-- Copyright (c) 2003 
15
-------------------------------------------------------------------------------
16
-- Revisions  :
17
-- Date        Version  Author  Description
18
-- 2003-11-28  1.0      tmsiqueira      Created
19
-------------------------------------------------------------------------------
20
 
21
  library ieee;
22
  use ieee.std_logic_1164.all;
23
 
24
  entity mux is
25
 
26
    generic (
27
      width : natural);
28
 
29
    port (
30
      inRa : in  std_logic_vector(WIDTH-1 downto 0);
31
      inIa : in  std_logic_vector(WIDTH-1 downto 0);
32
      inRb : in  std_logic_vector(WIDTH-1 downto 0);
33
      inIb : in  std_logic_vector(WIDTH-1 downto 0);
34
      outR : out std_logic_vector(WIDTH-1 downto 0);
35
      outI : out std_logic_vector(WIDTH-1 downto 0);
36
                clk  : in  std_logic;
37
      sel  : in  std_logic);
38
 
39
  end mux;
40
 
41
  architecture mux of mux is
42
 
43
  begin  -- mux
44
 
45
--    outR <= inRa when (sel='0') else (others => 'Z');
46
--              outR <= inRb when (sel='1') else (others => 'Z');
47
--    outI <= inIa when (sel='0') else (others => 'Z');
48
--              outI <= inIb when (sel='1') else (others => 'Z');
49
 
50
--       with sel select
51
--      outR <= inRa when '0',
52
--              inRb when others;
53
 
54
--       with sel select
55
--      outI <= inIa when '0',
56
--              inIb when others;
57
 
58
   process (clk)
59
        begin
60
           if clk'event and clk='1' then
61
                   case sel is
62
                           when '0' =>
63
                                   outR <= inRa;
64
                                        outI <= inIa;
65
                                when '1' =>
66
                                   outR <= inRb;
67
                                        outI <= inIb;
68
                                when others =>
69
                                   null;
70
                        end case;
71
                end if;
72
        end process;
73
 
74
  end mux;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.