URL
https://opencores.org/ocsvn/ofdm/ofdm/trunk
[/] [ofdm/] [branches/] [avendor/] [ram.vhd] - Blame information for rev 13
Details |
Compare with Previous |
View Log
Line No. |
Rev |
Author |
Line |
1 |
4 |
tmsiqueira |
-------------------------------------------------------------------------------
|
2 |
|
|
-- Title : ram.vhd
|
3 |
|
|
-- Project :
|
4 |
|
|
-------------------------------------------------------------------------------
|
5 |
|
|
-- File : ram.vhd
|
6 |
|
|
-- Author :
|
7 |
|
|
-- Company :
|
8 |
|
|
-- Created : 2003-12-05
|
9 |
|
|
-- Last update: 2003-12-05
|
10 |
|
|
-- Platform :
|
11 |
|
|
-------------------------------------------------------------------------------
|
12 |
|
|
-- Description: Bloco de ram para armazenar a parte real e a imaginaria.
|
13 |
|
|
-------------------------------------------------------------------------------
|
14 |
|
|
-- Copyright (c) 2003
|
15 |
|
|
-------------------------------------------------------------------------------
|
16 |
|
|
-- Revisions :
|
17 |
|
|
-- Date Version Author Description
|
18 |
|
|
-- 2003-12-05 1.0 tmsiqueira Created
|
19 |
|
|
-------------------------------------------------------------------------------
|
20 |
|
|
|
21 |
|
|
library IEEE;
|
22 |
|
|
use IEEE.std_logic_1164.all;
|
23 |
|
|
|
24 |
|
|
entity ram is
|
25 |
|
|
|
26 |
|
|
generic (
|
27 |
|
|
width : natural;
|
28 |
|
|
depth : natural;
|
29 |
|
|
Addr_width : natural);
|
30 |
|
|
|
31 |
|
|
port (
|
32 |
|
|
clkin : in std_logic; -- clock para a porta de entrada
|
33 |
|
|
wen : in std_logic; -- write enable
|
34 |
|
|
addrin : in std_logic_vector(Addr_width-1 downto 0); -- endereco de entrada
|
35 |
|
|
dinR : in std_logic_vector(width-1 downto 0); -- imag data in
|
36 |
|
|
dinI : in std_logic_vector(width-1 downto 0); -- real data in
|
37 |
|
|
clkout : in std_logic; -- clock para a porta de saida
|
38 |
|
|
addrout : in std_logic_vector(Addr_width-1 downto 0); -- endereco de leitura
|
39 |
|
|
doutR : out std_logic_vector(width-1 downto 0); -- real data out
|
40 |
|
|
doutI : out std_logic_vector(width-1 downto 0)); -- imag data out
|
41 |
|
|
|
42 |
|
|
end ram;
|
43 |
|
|
|
44 |
|
|
architecture ram of ram is
|
45 |
|
|
|
46 |
|
|
component blockdram
|
47 |
|
|
generic (
|
48 |
|
|
depth : natural;
|
49 |
|
|
Dwidth : natural;
|
50 |
|
|
Awidth : natural);
|
51 |
|
|
port (
|
52 |
|
|
clkin : in std_logic;
|
53 |
|
|
wen : in std_logic;
|
54 |
|
|
addrin : in std_logic_vector(Awidth-1 downto 0);
|
55 |
|
|
din : in std_logic_vector(Dwidth-1 downto 0);
|
56 |
|
|
clkout : in std_logic;
|
57 |
|
|
addrout : in std_logic_vector(Awidth-1 downto 0);
|
58 |
|
|
dout : out std_logic_vector(Dwidth-1 downto 0));
|
59 |
|
|
end component;
|
60 |
|
|
|
61 |
|
|
begin -- ram
|
62 |
|
|
|
63 |
|
|
Real_ram : blockdram
|
64 |
|
|
generic map (
|
65 |
|
|
depth => depth,
|
66 |
|
|
Dwidth => width,
|
67 |
|
|
Awidth => Addr_width)
|
68 |
|
|
port map (
|
69 |
|
|
clkin => clkin,
|
70 |
|
|
wen => wen,
|
71 |
|
|
addrin => addrin,
|
72 |
|
|
din => dinR,
|
73 |
|
|
clkout => clkout,
|
74 |
|
|
addrout => addrout,
|
75 |
|
|
dout => doutR);
|
76 |
|
|
|
77 |
|
|
Imag_ram : blockdram
|
78 |
|
|
generic map (
|
79 |
|
|
depth => depth,
|
80 |
|
|
Dwidth => width,
|
81 |
|
|
Awidth => Addr_width)
|
82 |
|
|
port map (
|
83 |
|
|
clkin => clkin,
|
84 |
|
|
wen => wen,
|
85 |
|
|
addrin => addrin,
|
86 |
|
|
din => dinI,
|
87 |
|
|
clkout => clkout,
|
88 |
|
|
addrout => addrout,
|
89 |
|
|
dout => doutI);
|
90 |
|
|
|
91 |
|
|
end ram;
|
© copyright 1999-2025
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.