OpenCores
URL https://opencores.org/ocsvn/ofdm/ofdm/trunk

Subversion Repositories ofdm

[/] [ofdm/] [branches/] [avendor/] [rxmodem.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 tmsiqueira
library IEEE;
2
use IEEE.STD_LOGIC_1164.ALL;
3
use IEEE.STD_LOGIC_ARITH.ALL;
4
use IEEE.STD_LOGIC_UNSIGNED.ALL;
5
 
6
--  Uncomment the following lines to use the declarations that are
7
--  provided for instantiating Xilinx primitive components.
8
--library UNISIM;
9
--use UNISIM.VComponents.all;
10
 
11
entity rxmodem is
12
    Port ( clk : in std_logic;
13
            rst : in std_logic;
14
            mem_ready     : in  std_logic;
15
            Iin           : in  std_logic_vector(11 downto 0);
16
            mem_block     : out std_logic;
17
            wen           : in  std_logic;
18
            addrin_in     : in  std_logic_vector(6 downto 0);
19
            txserial : out std_logic
20
        );
21
end rxmodem;
22
 
23
architecture rxmodem of rxmodem is
24
 
25
  component ofdm
26
    generic (
27
      Tx_nRx : natural;
28
      WIDTH  : natural;
29
      POINT  : natural;
30
      STAGE  : natural);
31
    port (
32
      clk           : in  std_logic;
33
      rst           : in  std_logic;
34
      mem_ready     : in  std_logic;
35
      Iin           : in  std_logic_vector(WIDTH-1 downto 0);
36
      --Qin           : in  std_logic_vector(WIDTH-1 downto 0);
37
      Iout          : out std_logic_vector(WIDTH+1 downto 0);
38
      Qout          : out std_logic_vector(WIDTH+1 downto 0);
39
      mem_block     : out std_logic;
40
      Output_enable : out std_logic;
41
      --bank0_busy    : out std_logic;
42
      --bank1_busy    : out std_logic;
43
      wen_in        : in  std_logic;
44
      addrin_in     : in  std_logic_vector(2*stage-Tx_nRX downto 0);
45
      addrout_out   : in  std_logic_vector(2*stage-1 downto 0));
46
  end component;
47
 
48
component output
49
    Port ( clk : in std_logic;
50
           rst : in std_logic;
51
           Iout          : in std_logic_vector(13 downto 0);
52
           Qout          : in std_logic_vector(13 downto 0);
53
           Output_enable : in std_logic;
54
           addrout_out   : out  std_logic_vector(5 downto 0);
55
           txserial : out std_logic
56
           );
57
end component;
58
 
59
signal Iout        : std_logic_vector(13 downto 0);
60
signal Qout        : std_logic_vector(13 downto 0);
61
signal Output_enable : std_logic;
62
signal addrout_out : std_logic_vector(5 downto 0);
63
 
64
begin
65
  ofdm_1: ofdm
66
    generic map (
67
      Tx_nRx => 0,
68
      WIDTH  => 12,
69
      POINT  => 64,
70
      STAGE  => 3)
71
    port map (
72
         clk           => clk,
73
         rst           => rst,
74
         mem_ready     => mem_ready,
75
         Iin           => Iin,
76
         --Qin           => (others => '0'),
77
         Iout          => Iout,  --tratado
78
         Qout          => Qout,  --tratado
79
         mem_block     => mem_block,
80
         Output_enable => Output_enable,  --tratado
81
         wen_in        => wen,
82
         addrin_in     => addrin_in,
83
         addrout_out   => addrout_out);  --tratado
84
 
85
 output_1: output
86
    Port map (
87
           clk           => clk          ,
88
           rst           => rst          ,
89
           Iout          => Iout         ,
90
           Qout          => Qout         ,
91
           Output_enable => Output_enable,
92
           addrout_out   => addrout_out  ,
93
           txserial      => txserial
94
           );
95
 
96
 
97
end rxmodem;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.