OpenCores
URL https://opencores.org/ocsvn/ofdm/ofdm/trunk

Subversion Repositories ofdm

[/] [ofdm/] [branches/] [avendor/] [txmodem.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 tmsiqueira
library IEEE;
2
use IEEE.STD_LOGIC_1164.all;
3
use IEEE.STD_LOGIC_ARITH.all;
4
use IEEE.STD_LOGIC_UNSIGNED.all;
5
 
6
--  Uncomment the following lines to use the declarations that are
7
--  provided for instantiating Xilinx primitive components.
8
--library UNISIM;
9
--use UNISIM.VComponents.all;
10
 
11
entity txmodem is
12
  port ( clk           : in  std_logic;
13
         rst           : in  std_logic;
14
         serial        : in  std_logic;
15
         Iout          : out std_logic_vector(13 downto 0);
16
         Output_enable : out std_logic;
17
         addrout_out   : in  std_logic_vector(5 downto 0)
18
         );
19
end txmodem;
20
 
21
architecture txmodem of txmodem is
22
 
23
  component input
24
    port (
25
      clk       : in  std_logic;
26
      rst       : in  std_logic;
27
      serial    : in  std_logic;
28
      mem_block : in  std_logic;
29
      mem_ready : out std_logic;
30
      wen       : out std_logic;
31
      address   : out std_logic_vector (5 downto 0);
32
      i         : out std_logic_vector(11 downto 0);
33
      q         : out std_logic_vector(11 downto 0)
34
      );
35
  end component;
36
 
37
  component ofdm
38
    generic (
39
      Tx_nRx : natural;
40
      WIDTH  : natural;
41
      POINT  : natural;
42
      STAGE  : natural);
43
    port (
44
      clk           : in  std_logic;
45
      rst           : in  std_logic;
46
      mem_ready     : in  std_logic;
47
      Iin           : in  std_logic_vector(WIDTH-1 downto 0);
48
      Qin           : in  std_logic_vector(WIDTH-1 downto 0);
49
      Iout          : out std_logic_vector(WIDTH+1 downto 0);
50
      Qout          : out std_logic_vector(WIDTH+1 downto 0);
51
      mem_block     : out std_logic;
52
      Output_enable : out std_logic;
53
      bank0_busy    : out std_logic;
54
      bank1_busy    : out std_logic;
55
      wen_in        : in  std_logic;
56
      addrin_in     : in  std_logic_vector(2*stage-Tx_nRX downto 0);
57
      addrout_out   : in  std_logic_vector(2*stage-1 downto 0));
58
  end component;
59
 
60
  signal mem_block : std_logic;
61
  signal mem_ready : std_logic;
62
  signal wen       : std_logic;
63
  signal address   : std_logic_vector (5 downto 0);
64
  signal i         : std_logic_vector(11 downto 0);
65
  signal q         : std_logic_vector(11 downto 0);
66
 
67
begin
68
  input_1 : input
69
    port map (
70
      clk       => clk,
71
      rst       => rst,
72
      serial    => serial,
73
      mem_block => mem_block,
74
      mem_ready => mem_ready,
75
      wen       => wen,
76
      address   => address,
77
      i         => i,
78
      q         => q
79
      );
80
 
81
 
82
  ofdm_1 : ofdm
83
    generic map (
84
      Tx_nRx => 1,
85
      WIDTH  => 12,
86
      POINT  => 64,
87
      STAGE  => 3)
88
    port map (
89
      clk           => clk,
90
      rst           => rst,
91
      mem_ready     => mem_ready,
92
      Iin           => I,
93
      Qin           => Q,
94
      Iout          => Iout,
95
      Qout          => open,
96
      mem_block     => mem_block,
97
      Output_enable => Output_enable,
98
      bank0_busy    => open,
99
      bank1_busy    => open,
100
      wen_in        => wen,
101
      addrin_in     => address,
102
      addrout_out   => addrout_out);
103
 
104
end txmodem;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.