OpenCores
URL https://opencores.org/ocsvn/ofdm/ofdm/trunk

Subversion Repositories ofdm

[/] [ofdm/] [branches/] [avendor/] [vhdl/] [qamdecoder.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tmsiqueira
library IEEE;
2
use IEEE.STD_LOGIC_1164.all;
3
use IEEE.STD_LOGIC_ARITH.all;
4
use IEEE.STD_LOGIC_SIGNED.all;
5
 
6
--  Uncomment the following lines to use the declarations that are
7
--  provided for instantiating Xilinx primitive components.
8
--library UNISIM;
9
--use UNISIM.VComponents.all;
10
 
11
entity qamdecoder is
12
  port (
13
    clk    : in  std_logic;
14
    rst    : in  std_logic;
15
    Iin    : in  std_logic;
16
    Qin    : in  std_logic;
17
    output : out std_logic_vector(1 downto 0));
18
end qamdecoder;
19
 
20
architecture qamdecoder of qamdecoder is
21
 
22
begin
23
  process(clk, rst)
24
 
25
--                Q
26
--        o       |       o 
27
--        01      |       00
28
--                |
29
--        ----------------- I
30
--                |
31
--        11      |       10
32
--        o       |        o
33
 
34
  begin
35
    if rst = '1' then
36
      output <= (others => '0');
37
    elsif clk'event and clk = '1' then
38
       output <= Qin&Iin;
39
    end if;
40
  end process;
41
end qamdecoder;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.