OpenCores
URL https://opencores.org/ocsvn/ofdm/ofdm/trunk

Subversion Repositories ofdm

[/] [ofdm/] [branches/] [avendor/] [vhdl/] [serparser.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tmsiqueira
library IEEE;
2
use IEEE.STD_LOGIC_1164.all;
3
use IEEE.STD_LOGIC_ARITH.all;
4
use IEEE.STD_LOGIC_UNSIGNED.all;
5
 
6
--  Uncomment the following lines to use the declarations that are
7
--  provided for instantiating Xilinx primitive components.
8
--library UNISIM;
9
--use UNISIM.VComponents.all;
10
 
11
entity serparser is
12
  port (
13
        clk : in  std_logic;
14
        rst : in  std_logic;
15
                  a : in  std_logic;
16
        b : out std_logic);
17
end serparser;
18
 
19
architecture serparser of serparser is
20
 
21
  component parallel
22
    port (
23
      clk    : in  std_logic;
24
      rst    : in  std_logic;
25
      input  : in  std_logic;
26
      output : out std_logic_vector(1 downto 0));
27
  end component;
28
 
29
  component serial
30
    port (
31
      clk    : in  std_logic;
32
      rst    : in  std_logic;
33
      input  : in  std_logic_vector(1 downto 0);
34
      output : out std_logic);
35
  end component;
36
 
37
  signal aux : std_logic_vector(1 downto 0);
38
 
39
begin
40
 
41
  parallel_1 : parallel
42
    port map (
43
      clk    => clk,
44
      rst    => rst,
45
      input  => a,
46
      output => aux);
47
 
48
  serial_1 : serial
49
    port map (
50
      clk    => clk,
51
      rst    => rst,
52
      input  => aux,
53
      output => b);
54
 
55
end serparser;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.