OpenCores
URL https://opencores.org/ocsvn/ofdm/ofdm/trunk

Subversion Repositories ofdm

[/] [ofdm/] [branches/] [avendor/] [vhdl/] [startup_timer.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tmsiqueira
library IEEE;
2
use IEEE.STD_LOGIC_1164.ALL;
3
use IEEE.STD_LOGIC_ARITH.ALL;
4
use IEEE.STD_LOGIC_UNSIGNED.ALL;
5
 
6
--  Uncomment the following lines to use the declarations that are
7
--  provided for instantiating Xilinx primitive components.
8
--library UNISIM;
9
--use UNISIM.VComponents.all;
10
 
11
entity startup_timer is
12
    Port ( clk : in std_logic;
13
           rst : in std_logic;
14
                          startup : out std_logic);
15
end startup_timer;
16
 
17
architecture startup_timer of startup_timer is
18
 
19
   signal timer: integer range 0 to 3;
20
begin
21
 
22
process (clk, rst)
23
begin
24
   if rst = '1' then
25
           timer <= 0;
26
                startup <= '1';
27
        elsif clk'event and clk='1' then
28
           if timer /= 3 then
29
              timer <= timer +1;
30
      else
31
                   startup <='0';
32
                        timer <= timer;
33
                end if;
34
        end if;
35
end process;
36
 
37
end startup_timer;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.