OpenCores
URL https://opencores.org/ocsvn/ofdm/ofdm/trunk

Subversion Repositories ofdm

[/] [ofdm/] [branches/] [avendor/] [vhdl/] [tx_control.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tmsiqueira
library IEEE;
2
use IEEE.STD_LOGIC_1164.ALL;
3
use IEEE.STD_LOGIC_ARITH.ALL;
4
use IEEE.STD_LOGIC_UNSIGNED.ALL;
5
 
6
--  Uncomment the following lines to use the declarations that are
7
--  provided for instantiating Xilinx primitive components.
8
--library UNISIM;
9
--use UNISIM.VComponents.all;
10
 
11
entity tx_control is
12
     generic (
13
        WIDTH  : natural := 12;
14
        POINT  : natural := 64;
15
        STAGE  : natural := 3);
16
 
17
      port (
18
        clk           : in  std_logic;
19
        rst           : in  std_logic;
20
        mem_ready     : out  std_logic;
21
        mem_block     : in std_logic;
22
        Output_enable : in std_logic;
23
        bank0_busy    : in std_logic;
24
        bank1_busy    : in std_logic;
25
        wen_in        : out  std_logic;
26
        addrin_in     : out  std_logic_vector(2*stage-1 downto 0);
27
        addrout_out   : out  std_logic_vector(2*stage-1 downto 0));
28
 
29
 
30
end tx_control;
31
 
32
architecture tx_control of tx_control is
33
 
34
signal cont: std_logic_vector(2*stage-2 downto 0);
35
begin
36
 
37
process (clk, rst)
38
begin
39
   if rst ='1' then
40
           cont <= (others => '0');
41
        elsif clk'event and clk='1' then
42
           if unsigned(cont) /= 32 then
43
              cont <= cont+1;
44
      else
45
                   cont <= x'1';
46
                end if;
47
        end if;
48
end process;
49
 
50
end tx_control;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.