OpenCores
URL https://opencores.org/ocsvn/opb_onewire/opb_onewire/trunk

Subversion Repositories opb_onewire

[/] [opb_onewire/] [trunk/] [s3e_onewire_master_v1_00_a/] [devl/] [projnav/] [s3e_onewire_master.restore] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 madscienti
# Project Navigator Project Restoration Script
2
#
3
# WARNING: Do not modify this file. Any alteration of this file is not
4
# supported and will likely cause project restoration to fail. The
5
# format and the contents will be modified without further notice.
6
#
7
# This script can be used to recreate the associated project. To use this script,
8
# source it in a Xilinx Tcl shell, such as xtclsh or the Project Navigator Tcl
9
# Shell tab, and call the 'restore' proc. Restore takes the project directory as
10
# an optional argument. Pass in the project directory if it is different than the
11
# current working directory, otherwise don't pass in anything.
12
#
13
# Example:
14
# In this example the project is in the directory "./projects/m_project_dir".
15
#
16
#  source ./projects/m_project_dir/my_project.restore
17
#  restore ./projects/m_project_dir
18
#
19
# Example:
20
# In this example the project is in the current working directory.
21
#
22
#  source my_project.restore
23
#  restore
24
#
25
# Note that restoring a project this way has the following limitations:
26
# - Process status will not be restored.
27
# - A root-level source will be set as "Top", even if a lower-level source had
28
#   previously been set as "Top".
29
# - Sources with non-default Design View associations will revert to the default
30
#   association.
31
# - Snapshots will not be restored.
32
#
33
# The project which failed to load will be backed up as .fail.
34
# Please open a Technical Support WebCase at
35
# www.xilinx.com/support/clearexpress/websupport.htm and submit this file, along
36
# with the project source files, for evaluation.
37
#
38
# Copyright 2007, Xilinx, Inc.
39
 
40
 
41
proc ERR { msg } {
42
   puts "ERROR: $msg"
43
}
44
 
45
proc WARN { msg } {
46
   puts "WARNING: $msg"
47
}
48
 
49
proc INFO { msg } {
50
   puts "$msg"
51
}
52
 
53
# Helper that returns 1 if the string is blank, otherwise 0.
54
proc IsBlank { str } {
55
   if { [string length $str] == 0 } {
56
      return 1
57
   }
58
   return 0
59
}
60
 
61
# Helper for determining whether a value is 'NULL'.
62
# Returns 1 if the value is 0; returns 0 if the value is anything else.
63
proc IsNull { val } {
64
   if { $val == 0 } {
65
      return 1
66
   }
67
   return 0
68
}
69
 
70
proc HandleException { script { msg "" } } {
71
   set catch_result [catch {
72
      uplevel 1 $script
73
   } RESULT]
74
   if {$catch_result} {
75
      if {![IsBlank $msg]} {
76
         ERR $msg
77
      }
78
      INFO "$RESULT"
79
      INFO "$::errorInfo"
80
   }
81
}
82
 
83
# These two procs help to load shared libraries in a platform
84
# independent way.
85
proc _LoadLibrary {name} {
86
   set libExt [info sharedlibextension]
87
   set libFullName "$name$libExt"
88
   HandleException {
89
      load $libFullName
90
   } "A problem occured loading library $libFullName."
91
}
92
 
93
proc _LoadFactoryLibrary {Factory} {
94
   HandleException {
95
      Xilinx::Cit::FactoryLoad $Factory
96
   } "A problem occured loading library $Factory."
97
}
98
 
99
_LoadLibrary libCit_CoreStub
100
_LoadLibrary libPrjrep_CommonStub
101
_LoadFactoryLibrary libPrjrep_Common
102
_LoadLibrary libDpm_SupportStub
103
_LoadLibrary libDpm_PnfStub
104
_LoadLibrary libDpm_DefnDataStub
105
_LoadLibrary libDpm_DesignDataStub
106
_LoadLibrary libDpm_HdlStub
107
_LoadLibrary libPrjrep_RepositoryStub
108
_LoadLibrary libCitI_CoreStub
109
_LoadLibrary libHdcI_HdcHDProjectStub
110
_LoadLibrary libTcltaskI_TaskStub
111
_LoadLibrary libCommonI_CommonStub
112
_LoadFactoryLibrary libTcltask_Helpers
113
_LoadFactoryLibrary libHdcC_HDProject
114
_LoadLibrary libHdcI_HdcContainerStub
115
 
116
#  Helper to exectute code only when the (pointer) variable name is valid.
117
proc OnOkPtr { var_name script } {
118
   if { [ uplevel info exists $var_name ] } {
119
      upvar $var_name var
120
      if { $var != 0 } { return [ uplevel $script ] }
121
   }
122
}
123
 
124
#  Helper to exectute code only when the (pointer) variable name is 0.
125
proc OnNullPtr { var_name script } {
126
   if { [ uplevel info exists $var_name ] } {
127
      upvar $var_name var
128
      if { $var == 0 } { return [ uplevel $script ] }
129
   }
130
}
131
 
132
#  Helper to exectute code only when the value of variable name is 1.
133
proc OnSuccess { var_name script } {
134
   if { $val != 0 } { return [ uplevel $script ] }
135
}
136
 
137
#  Helper to exectute code only when the value of variable name is 0.
138
proc OnFail { val script } {
139
   if { $val != 1 } { return [ uplevel $script ] }
140
}
141
 
142
#  Helper to get a component interface.
143
proc GetInterface { iUnk id { name "" } } {
144
   if {$iUnk == 0} { return 0 }
145
   set iIface [ $iUnk GetInterface $id ]
146
   OnNullPtr iIface {
147
      if {![IsBlank $name]} {
148
         ERR " Could not get the \"$name\" interface."
149
      }
150
   }
151
   return $iIface
152
}
153
 
154
#  Helper to create a component and return one of its interfaces.
155
proc CreateComponent { compId ifaceId { name "" } } {
156
   set iUnk [ ::Xilinx::Cit::FactoryCreate $compId ]
157
   set iIface [ GetInterface $iUnk $ifaceId ]
158
   OnNullPtr iIface {
159
      if {![IsBlank $name]} { ERR "Could not create a \"$name\" component." }
160
   }
161
   return $iIface
162
}
163
 
164
#  Helper to release an object
165
proc Release { args } {
166
   foreach iUnk $args {
167
      set i_refcount [ GetInterface $iUnk $::xilinx::Prjrep::IRefCountID ]
168
      OnNullPtr i_refcount { set i_refcount [ GetInterface $iUnk $::xilinx::CommonI::IRefCountID ] }
169
      OnOkPtr i_refcount { $i_refcount Release }
170
   }
171
}
172
 
173
#  Helper to loop over IIterator based pointers.
174
proc ForEachIterEle { _ele_var_name _iter script } {
175
   if {$_iter == 0} { return 0 }
176
   upvar $_ele_var_name ele
177
   for { $_iter First } { ![ $_iter IsEnd ] } { $_iter Next }  {
178
      set ele [ $_iter CurrentItem ]
179
      set returned_val [ uplevel $script ]
180
   }
181
}
182
 
183
#  Helper to get the Tcl Project Manager, if possible.
184
proc GetTclProjectMgr { } {
185
   set TclProjectMgrId "{7d528480-1196-4635-aba9-639446e4aa59}"
186
   set iUnk [ Xilinx::CitP::CreateComponent $TclProjectMgrId ]
187
   if {$iUnk == 0} { return 0 }
188
   set iTclProjectMgr [ $iUnk GetInterface $::xilinx::TcltaskI::ITclProjectMgrID ]
189
   OnNullPtr iTclProjectMgr {
190
      ERR "Could not create a \"TclProjectMgr\" component."
191
   }
192
   return $iTclProjectMgr
193
}
194
 
195
#  Helper to get the current Tcl Project, if one is open.
196
proc GetCurrentTclProject { } {
197
   set iTclProject 0
198
   set iTclProjectMgr [GetTclProjectMgr]
199
   OnOkPtr iTclProjectMgr {
200
      set errmsg ""
201
      $iTclProjectMgr GetCurrentTclProject iTclProject errmsg
202
   }
203
   return $iTclProject
204
}
205
 
206
#  Helper to get the current HDProject, if one is open.
207
proc GetCurrentHDProject { } {
208
   set iHDProject 0
209
   set iTclProjectMgr [GetTclProjectMgr]
210
   set errmsg ""
211
   OnOkPtr iTclProjectMgr { $iTclProjectMgr GetCurrentHDProject iHDProject errmsg }
212
   OnNullPtr iHDProject {
213
      ERR "Could not get the current HDProject."
214
   }
215
   return $iHDProject
216
}
217
 
218
#  Helper to create a Project Helper.
219
proc GetProjectHelper { } {
220
   set ProjectHelperID "{0725c3d2-5e9b-4383-a7b6-a80c932eac21}"
221
   set iProjHelper [CreateComponent $ProjectHelperID $::xilinx::Dpm::IProjectHelperID "Project Helper"]
222
   return $iProjHelper
223
}
224
 
225
#  Helper to find out if a project is currently open.
226
#  Returns 1 if a project is open, otherwise 0.
227
proc IsProjectOpen { } {
228
   set iTclProject [GetCurrentTclProject]
229
   set isOpen [expr {$iTclProject != 0}]
230
   Release $iTclProject
231
   return $isOpen
232
}
233
 
234
#  Helper to return the lock file for the specified project if there is one.
235
#  Returns an empty string if there is no lock file on the specified project.
236
#  This assumes that the project_file is in the current directory.
237
#  It also assumes project_file does not have a path.
238
proc GetProjectLockFile { project_file } {
239
   INFO "Checking for a lock file for \"$project_file\"."
240
   set lock_file "__ISE_repository_${project_file}_.lock"
241
   if { [ file isfile "$lock_file" ] } {
242
      return $lock_file
243
   }
244
   return
245
}
246
 
247
#  Helper to move aside the project file.
248
#  This assumes that the project_file is in the current directory.
249
proc MoveProject { project_file backup_file } {
250
   INFO "Moving aside the project \"$project_file\" so that it can be recreated."
251
   INFO "The project will be backed up as \"$backup_file\"."
252
   if { ![ file isfile "$project_file" ] } {
253
      WARN "Could not move \"$project_file\"; it does not exist or is not a file."
254
      return 1
255
   }
256
   file rename -force "$project_file" "$backup_file"
257
   # We will need to bail if the project still exists.
258
   if { [ file isfile "$project_file" ] } {
259
      ERR "Could not remove \"$project_file\"; unable to recreate the project.."
260
      return 0
261
   }
262
   return 1
263
}
264
 
265
#  Helper to open a project and return a project facilitator (pointer).
266
proc OpenFacilProject { project_name } {
267
   # first make sure the tcl project mgr singleton exists
268
   GetTclProjectMgr
269
   # get a Project Helper and open the project.
270
   set iProjHelper [GetProjectHelper]
271
   if {$iProjHelper == 0} { return 0 }
272
   set result [$iProjHelper Open $project_name]
273
   OnFail $result {
274
      if {$result == 576460769483292673} {
275
         ERR "Could not open the project \"$project_name\" because it is locked."
276
      } else {
277
         ERR "Could not open the \"$project_name\" project."
278
      }
279
      Release $iProjHelper
280
      set iProjHelper 0
281
   }
282
   return $iProjHelper
283
}
284
 
285
#  Helper to close and release a project.
286
proc CloseFacilProject { iProjHelper } {
287
   if {$iProjHelper == 0} { return }
288
   $iProjHelper Close
289
   Release $iProjHelper
290
}
291
 
292
#  Helper to get the Project from the Project Helper.
293
#  Clients must release this.
294
proc GetProject { iProjHelper } {
295
   if {$iProjHelper == 0} { return 0 }
296
   set dpm_project 0
297
   $iProjHelper GetDpmProject dpm_project
298
   set iProject [ GetInterface $dpm_project $xilinx::Dpm::IProjectID ]
299
   OnNullPtr iProject {
300
      ERR "Could not get the Project from the Project Helper."
301
   }
302
   return $iProject
303
}
304
 
305
#  Helper to get the File Manager from the Project Helper.
306
#  Clients must release this.
307
proc GetFileManager { iProjHelper } {
308
   set iProject [GetProject $iProjHelper]
309
   set iFileMgr [ GetInterface $iProject $xilinx::Dpm::IFileManagerID ]
310
   OnNullPtr iFileMgr {
311
      ERR "Could not get the File Manager from the Project Helper."
312
   }
313
   # Don't release the project here, clients will release it
314
   # when they release its IFileManager interface.
315
   return $iFileMgr
316
}
317
 
318
#  Helper to get the Source Library Manager from the Project Helper.
319
#  Clients must release this.
320
proc GetSourceLibraryManager { iProjHelper } {
321
   set iProject [GetProject $iProjHelper]
322
   set iSourceLibraryMgr [ GetInterface $iProject $xilinx::Dpm::ISourceLibraryManagerID ]
323
   OnNullPtr iSourceLibraryMgr {
324
      ERR "Could not get the Source Library Manager from the Project Helper."
325
   }
326
   # Don't release the project here, clients will release it
327
   # when they release its IFileManager interface.
328
   return $iSourceLibraryMgr
329
}
330
 
331
#  Helper to get the ProjSrcHelper from the Project Helper.
332
#  Clients must NOT release this.
333
proc GetProjSrcHelper { iProjHelper } {
334
   set iSrcHelper [ GetInterface $iProjHelper $::xilinx::Dpm::IProjSrcHelperID IProjSrcHelper ]
335
   OnNullPtr iSrcHelper {
336
      ERR "Could not get the ProjSrcHelper from the Project Helper."
337
   }
338
   return $iSrcHelper
339
}
340
 
341
#  Helper to get the ScratchPropertyManager from the Project Helper.
342
#  Clients must NOT release this.
343
proc GetScratchPropertyManager { iProjHelper } {
344
   set iPropTableFetch [ GetInterface $iProjHelper $xilinx::Dpm::IPropTableFetchID IPropTableFetch ]
345
   set prop_table_comp 0
346
   OnOkPtr iPropTableFetch {
347
      $iPropTableFetch GetPropTable prop_table_comp
348
   }
349
   set iScratch [ GetInterface $prop_table_comp $xilinx::Dpm::IScratchPropertyManagerID ]
350
   OnNullPtr iScratch {
351
      ERR "Could not get the Scratch Property Manager from the Project Helper."
352
   }
353
   return $iScratch
354
}
355
 
356
#  Helper to get the Design from the Project Helper.
357
#  Clients must release this.
358
proc GetDesign { iProjHelper } {
359
   set iProject [GetProject $iProjHelper]
360
   set iDesign 0
361
   OnOkPtr iProject { $iProject GetDesign iDesign }
362
   OnNullPtr iDesign {
363
      ERR "Could not get the Design from the Project Helper."
364
   }
365
   Release $iProject
366
   return $iDesign
367
}
368
 
369
#  Helper to get the Data Store from the Project Helper.
370
#  Clients must NOT release this.
371
proc GetDataStore { iProjHelper } {
372
   set iDesign [ GetDesign $iProjHelper]
373
   set iDataStore 0
374
   OnOkPtr iDesign { $iDesign GetDataStore iDataStore }
375
   OnNullPtr iDataStore {
376
      ERR "Could not get the Data Store from the Project Helper."
377
   }
378
   Release $iDesign
379
   return $iDataStore
380
}
381
 
382
#  Helper to get the View Manager from the Project Helper.
383
#  Clients must NOT release this.
384
proc GetViewManager { iProjHelper } {
385
   set iDesign [ GetDesign $iProjHelper]
386
   set iViewMgr [ GetInterface $iDesign $xilinx::Dpm::IViewManagerID ]
387
   OnNullPtr iViewMgr {
388
      ERR "Could not get the View Manager from the Project Helper."
389
   }
390
   # Don't release the design here, clients will release it
391
   # when they release its IViewManager interface.
392
   return $iViewMgr
393
}
394
 
395
#  Helper to get the Property Manager from the Project Helper.
396
#  Clients must release this.
397
proc GetPropertyManager { iProjHelper } {
398
   set iDesign [ GetDesign $iProjHelper]
399
   set iPropMgr 0
400
   OnOkPtr iDesign { $iDesign GetPropertyManager iPropMgr }
401
   OnNullPtr iPropMgr {
402
      ERR "Could not get the Property Manager from the Project Helper."
403
   }
404
   Release $iDesign
405
   return $iPropMgr
406
}
407
 
408
#  Helper to find a property template, based on prop_name
409
#  Clients must NOT release this.
410
proc GetPropertyTemplate { iProjHelper prop_name } {
411
   set iPropTempl 0
412
   set iUnk 0
413
   set iDefdataId 0
414
   set iPropTemplStore 0
415
   set iDataStore [GetDataStore $iProjHelper]
416
   OnOkPtr iDataStore { $iDataStore GetComponentByName $prop_name iUnk }
417
   OnOkPtr iUnk { set iDefdataId [ GetInterface $iUnk $xilinx::Dpm::IDefDataIdID IDefDataId ] }
418
   OnOkPtr iDefdataId {
419
      set iPropTemplStore [ GetInterface $iDataStore $xilinx::Dpm::IPropertyTemplateStoreID IPropertyTemplateStore ]
420
   }
421
   OnOkPtr iPropTemplStore { $iPropTemplStore GetPropertyTemplate $iDefdataId iPropTempl }
422
   OnNullPtr iPropTempl {
423
      WARN "Could not get the property template for \"$prop_name\"."
424
   }
425
   return $iPropTempl
426
}
427
 
428
#  Helper to get a component's name.
429
proc GetName { iUnk } {
430
   set name ""
431
   set iName [ GetInterface $iUnk $xilinx::Prjrep::INameID IName ]
432
   OnOkPtr iName { $iName GetName name }
433
   return $name
434
}
435
 
436
#  Helper to get the name of a view's type.
437
proc GetViewTypeName { iView } {
438
   set typeName ""
439
   set iType 0
440
   set iDefdataType 0
441
   OnOkPtr iView { $iView GetType iType }
442
   OnOkPtr iType {
443
      set iDefdataType [ GetInterface $iType $xilinx::Dpm::IDefDataIdID IDefDataId ]
444
   }
445
   OnOkPtr iDefdataType { $iDefdataType GetID typeName }
446
   return $typeName
447
}
448
 
449
#  Helper to find a view and return its context.
450
#  Must clients release this?
451
proc GetViewContext { iProjHelper view_id view_name } {
452
   # Simply return if the view_id or view_name is empty.
453
   if { [IsBlank $view_id] || [IsBlank $view_name] } { return 0 }
454
   set foundview 0
455
   set viewiter 0
456
   set iViewMgr [GetViewManager $iProjHelper]
457
   OnOkPtr iViewMgr { $iViewMgr GetViews viewiter }
458
   ForEachIterEle view $viewiter {
459
      set typeName [GetViewTypeName $view]
460
      set name [GetName $view]
461
      if { [ string equal $name $view_name ] && [ string equal $view_id $typeName ] } {
462
         set foundview $view
463
      }
464
   }
465
   set context [ GetInterface $foundview $xilinx::Dpm::IPropertyContextID ]
466
   OnNullPtr context {
467
      WARN "Could not get the context for view \"$view_id\":\"$view_name\"."
468
   }
469
   return $context
470
}
471
 
472
#  Helper to get a string property instance from the property manager.
473
proc GetStringPropertyInstance { iProjHelper simple_id } {
474
   set iPropMgr [GetPropertyManager $iProjHelper]
475
   if {$iPropMgr == 0} { return 0 }
476
   set iPropInst 0
477
   $iPropMgr GetStringProperty $simple_id iPropInst
478
   OnNullPtr iPropInst { WARN "Could not get the string property instance $simple_id." }
479
   Release $iPropMgr
480
   return $iPropInst
481
}
482
 
483
#  Helper to get a property instance from the property manager.
484
proc GetPropertyInstance { iProjHelper view_name view_id prop_name } {
485
   set iPropInst 0
486
   set iPropTempl [ GetPropertyTemplate $iProjHelper $prop_name ]
487
   if {$iPropTempl == 0} { return 0 }
488
   set context [ GetViewContext $iProjHelper $view_id $view_name ]
489
   set iPropMgr [GetPropertyManager $iProjHelper]
490
   if {$iPropMgr == 0} { return 0 }
491
   $iPropMgr GetPropertyInstance $iPropTempl $context iPropInst
492
   OnNullPtr iPropInst {
493
      if { ![IsBlank $view_id] && ![IsBlank $view_name] } {
494
         WARN "Could not get the context sensitive property instance $prop_name."
495
      } else {
496
         WARN "Could not get the property instance $prop_name."
497
      }
498
   }
499
   Release $iPropMgr
500
   return $iPropInst
501
}
502
 
503
#  Helper to store properties back into the property manager.
504
proc RestoreProcessProperties { iProjHelper process_props } {
505
   INFO "Restoring process properties"
506
   foreach { unused view_name view_id simple_id prop_name prop_val } $process_props {
507
      set iPropInst 0
508
      if {![IsBlank $simple_id]} {
509
         set iPropInst [ GetStringPropertyInstance $iProjHelper $simple_id ]
510
      } else {
511
         set iPropInst [ GetPropertyInstance $iProjHelper $view_name $view_id $prop_name ]
512
      }
513
      OnOkPtr iPropInst {
514
         OnFail [ $iPropInst SetStringValue "$prop_val" ] {
515
            WARN "Could not set the value of the $prop_name property to \"$prop_val\"."
516
         }
517
      }
518
      Release $iPropInst
519
   }
520
}
521
 
522
#  Helper to recreate partitions from the variable name with
523
#  a list of instance names.
524
proc RestorePartitions { namelist } {
525
   INFO "Restoring partitions."
526
   set iHDProject [ GetCurrentHDProject ]
527
   OnOkPtr iHDProject {
528
      foreach name $namelist {
529
         set iPartition [ $iHDProject CreatePartition "$name" ]
530
      }
531
   }
532
}
533
 
534
#  Helper to create and populate a library
535
#
536
proc CreateLibrary { iProjHelper libname filelist } {
537
 
538
   set iLibMgr [ GetSourceLibraryManager $iProjHelper ]
539
   set iFileMgr [ GetFileManager $iProjHelper ]
540
 
541
   if {$iLibMgr == 0} { return 0 }
542
   if {$iFileMgr == 0} { return 0 }
543
 
544
   $iLibMgr CreateSourceLibrary "libname" ilib
545
 
546
   OnOkPtr ilib {
547
      foreach filename $filelist {
548
         set argfile [ file normalize "$filename" ]
549
         set found 0
550
         set fileiter 0
551
         $iFileMgr GetFiles fileiter
552
         ForEachIterEle ifile $fileiter {
553
            set path ""
554
            set file ""
555
            $ifile getPath path file
556
            set currentfile [ file normalize [ file join "$path" "$file" ] ]
557
            if { $currentfile == $argfile } {
558
               set found 1
559
               $ilib AddFile ifile
560
               break
561
            }
562
         }
563
         OnNullPtr found {
564
            WARN "Could not add the file \"$filename\" to the library \"$libname\"."
565
         }
566
      }
567
   }
568
}
569
 
570
#  Helper to create source libraries and populate them.
571
proc RestoreSourceLibraries { iProjHelper libraries } {
572
   INFO "Restoring source libraries."
573
   foreach { libname filelist } $libraries {
574
      CreateLibrary $iProjHelper "$libname" $filelist
575
   }
576
}
577
 
578
# Helper to add user files to the project using the PnF.
579
proc AddUserFiles { iProjHelper files } {
580
   INFO "Adding User files."
581
   set iconflict 0
582
   set iSrcHelper [ GetProjSrcHelper $iProjHelper ]
583
   if {$iSrcHelper == 0} { return 0 }
584
   foreach filename $files {
585
      INFO "Adding the file \"$filename\" to the project."
586
      set result [$iSrcHelper AddSourceFile "$filename" iconflict]
587
      OnFail $result {
588
         if {$result == 6} {
589
            INFO "The file \"$filename\" is already in the project."
590
         } else {
591
            ERR "A problem occurred adding the file \"$filename\" to the project."
592
         }
593
      }
594
   }
595
}
596
 
597
# Helper to add files to the project and set their origination.
598
# Valid origination values are:
599
#   0 - User
600
#   1 - Generated
601
#   2 - Imported
602
# Files of origination "User" are added through the facilitator,
603
# otherwise they are added directly to the File Manager.
604
proc AddImportedFiles { iProjHelper files origination } {
605
   switch $origination {
606
 
607
      1 { INFO "Adding Generated files." }
608
      2 { INFO "Adding Imported files." }
609
      default {
610
         ERR "Invalid parameter: origination was set to \"$origination\", but may only be 0, 1, or 2."
611
         return 0
612
      }
613
   }
614
   set iFileMgr [ GetFileManager $iProjHelper ]
615
   if {$iFileMgr == 0} { return 0 }
616
   foreach filename $files {
617
      set file_type 0
618
      set hdl_file 0
619
      set result [$iFileMgr AddFile "$filename" $file_type hdl_file]
620
      OnFail $result {
621
         if {$result == 6} {
622
            INFO "The file \"$filename\" is already in the project."
623
         } elseif { $hdl_file == 0 } {
624
            ERR "A problem occurred adding the file \"$filename\" to the project."
625
         }
626
      }
627
      OnOkPtr hdl_file {
628
         set ifile [ GetInterface $hdl_file $xilinx::Dpm::IFileID IFile ]
629
         OnOkPtr ifile {
630
            set result [ $ifile SetOrigination $origination ]
631
            if {$result != 1} {
632
               ERR "A problem occurred setting the origination of \"$filename\" to \"$origination\"."
633
            }
634
            Release $ifile
635
         }
636
      }
637
   }
638
   return 1
639
}
640
 
641
proc RestoreProjectSettings { iProjHelper project_settings } {
642
   INFO "Restoring device settings"
643
   set iScratch [GetScratchPropertyManager $iProjHelper]
644
   set iPropIter 0
645
   set iPropSet [ GetInterface $iScratch $xilinx::Dpm::IPropertyNodeSetID IPropertyNodeSet ]
646
   OnOkPtr iPropSet {
647
      $iPropSet GetIterator iPropIter
648
   }
649
   set index 0
650
   set lastindex [llength $project_settings]
651
   ForEachIterEle prop_node $iPropIter {
652
      set prop_instance 0
653
      $prop_node GetPropertyInstance prop_instance
654
      if { $index < $lastindex } {
655
         set argname [ lindex $project_settings $index ]
656
         set argvalue [ lindex $project_settings [ expr $index + 1 ] ]
657
      } else {
658
         set argname {}
659
         set argvalue {}
660
      }
661
      if { $prop_instance != 0 } {
662
         set name {}
663
         $prop_instance GetName name
664
         if { [string equal $name $argname ] } {
665
            $prop_instance SetStringValue $argvalue
666
            incr index
667
            incr index
668
         }
669
      }
670
      Release $prop_instance
671
   }
672
   $iScratch Commit
673
   # initialize
674
   $iProjHelper Init
675
}
676
 
677
#  Helper to load a source control configuration from a stream
678
#  and then store it back into an ise file.
679
proc RestoreSourceControlOptions { prjfile istream } {
680
   INFO "Restoring source control options"
681
   set config_comp [::Xilinx::Cit::FactoryCreate $::xilinx::Dpm::SourceControlConfigurationCompID ]
682
   OnOkPtr config_comp { set ipersist [ $config_comp GetInterface $xilinx::Prjrep::IPersistID ] }
683
   OnOkPtr config_comp { set igetopts [ $config_comp GetInterface $xilinx::Dpm::SrcCtrl::IGetOptionsID ] }
684
   set helper_comp [::Xilinx::Cit::FactoryCreate $::xilinx::Dpm::SourceControlHelpCompID ]
685
   OnOkPtr helper_comp { set ihelper [ $config_comp GetInterface $xilinx::Dpm::SrcCtrl::IHelperID ] }
686
   OnOkPtr ipersist { $ipersist Load istream }
687
   OnOkPtr ihelper { OnOkPtr igetopts { $ihelper SaveOptions $prjfile $igetopts } }
688
   Release $helper_comp $config_comp
689
}
690
 
691
# Call this proc to restore the ISE project.
692
proc restore { { project_dir "" } } {
693
   set script_file "s3e_onewire_master.restore"
694
   set project_file "s3e_onewire_master.ise"
695
   set backup_file "s3e_onewire_master.fail"
696
   set old_working_dir [pwd]
697
   # Make sure a project isn't already open.
698
   if {[IsProjectOpen]} {
699
      ERR "The project must be closed before performing this operation."
700
      cd $old_working_dir
701
      return
702
   }
703
   # If a project directory was supplied, cd into it.
704
   if {![IsBlank $project_dir]} {
705
     cd $project_dir
706
   }
707
   # Make sure the project file exists.
708
   if { ![ file isfile "$project_file" ] } {
709
      ERR "Could not find the project file \"$project_file\". You must be in the project directory to perform this operation."
710
      cd $old_working_dir
711
      return
712
   }
713
   # Make sure the project isn't locked.
714
   set lock_file [GetProjectLockFile $project_file]
715
   if { ![IsBlank "$lock_file" ] } {
716
      ERR "Could not restore the project \"$project_name\" because it is locked."
717
      INFO "Please remove the lock file \"$lock_file\" and try again."
718
      cd $old_working_dir
719
      return
720
   }
721
   # Backup this script because it will be overwritten the next time
722
   # the project is saved, which happens right after it is created!
723
   file copy -force "$script_file" "${script_file}.last"
724
   # Back up the project and remove the project file, since it can't be opened.
725
   OnFail [ MoveProject "$project_file" "$backup_file" ] {
726
      cd $old_working_dir
727
      return
728
   }
729
 
730
   # Open the project.
731
   HandleException {
732
      set iProjHelper [ OpenFacilProject "$project_file"]
733
   } "A problem occurred while creating the project \"$project_file\"."
734
   if {$iProjHelper == 0} {
735
      cd $old_working_dir
736
      return
737
   }
738
   INFO "Recreating project \"$project_file\"."
739
  set project_settings {
740
     "PROP_DevFamily" "Virtex2P"
741
     "PROP_DevDevice" "xc2vp7"
742
     "PROP_DevPackage" "fg456"
743
     "PROP_DevSpeed" "-6"
744
     "PROP_Top_Level_Module_Type" "HDL"
745
     "PROP_Synthesis_Tool" "XST (VHDL/Verilog)"
746
     "PROP_Simulator" "Modelsim-SE Mixed"
747
     "PROP_PreferredLanguage" "Verilog"
748
     "PROP_Enable_Message_Capture" "true"
749
     "PROP_Enable_Message_Filtering" "false"
750
     "PROP_Enable_Incremental_Messaging" "false"
751
     }
752
 
753
  HandleException {
754
    RestoreProjectSettings $iProjHelper $project_settings
755
  } "A problem occured while restoring project settings."
756
 
757
  set user_files {
758
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/interrupt_control_v1_00_a/hdl/vhdl/interrupt_control.vhd"
759
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/opb_ipif_v3_01_c/hdl/vhdl/brst_addr_cntr.vhd"
760
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/opb_ipif_v3_01_c/hdl/vhdl/brst_addr_cntr_reg.vhd"
761
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/opb_ipif_v3_01_c/hdl/vhdl/opb_bam.vhd"
762
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/opb_ipif_v3_01_c/hdl/vhdl/opb_be_gen.vhd"
763
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/opb_ipif_v3_01_c/hdl/vhdl/opb_flex_addr_cntr.vhd"
764
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/opb_ipif_v3_01_c/hdl/vhdl/opb_ipif.vhd"
765
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/opb_ipif_v3_01_c/hdl/vhdl/reset_mir.vhd"
766
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/opb_ipif_v3_01_c/hdl/vhdl/srl_fifo3.vhd"
767
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/opb_ipif_v3_01_c/hdl/vhdl/write_buffer.vhd"
768
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/counter.vhd"
769
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/counter_bit.vhd"
770
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/direct_path_cntr_ai.vhd"
771
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/down_counter.vhd"
772
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/family.vhd"
773
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/inferred_lut4.vhd"
774
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/ipif_pkg.vhd"
775
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/ipif_steer.vhd"
776
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/ld_arith_reg.vhd"
777
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/mux_onehot.vhd"
778
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/or_gate.vhd"
779
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/or_muxcy.vhd"
780
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/pf_adder.vhd"
781
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/pf_adder_bit.vhd"
782
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/pf_counter.vhd"
783
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/pf_counter_bit.vhd"
784
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/pf_counter_top.vhd"
785
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/pf_dpram_select.vhd"
786
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/pf_occ_counter.vhd"
787
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/pf_occ_counter_top.vhd"
788
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/proc_common_pkg.vhd"
789
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/pselect.vhd"
790
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/srl16_fifo.vhd"
791
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/srl_fifo2.vhd"
792
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/valid_be.vhd"
793
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/rdpfifo_v1_01_b/hdl/vhdl/ipif_control_rd.vhd"
794
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/rdpfifo_v1_01_b/hdl/vhdl/rdpfifo_dp_cntl.vhd"
795
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/rdpfifo_v1_01_b/hdl/vhdl/rdpfifo_top.vhd"
796
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/wrpfifo_v1_01_b/hdl/vhdl/ipif_control_wr.vhd"
797
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/wrpfifo_v1_01_b/hdl/vhdl/pf_dly1_mux.vhd"
798
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/wrpfifo_v1_01_b/hdl/vhdl/wrpfifo_dp_cntl.vhd"
799
      "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/wrpfifo_v1_01_b/hdl/vhdl/wrpfifo_top.vhd"
800
      "../../hdl/verilog/user_logic.v"
801
      "../../hdl/vhdl/s3e_onewire_master.vhd"}
802
 
803
  HandleException {
804
    AddUserFiles $iProjHelper $user_files
805
  } "A problem occured while restoring user files."
806
 
807
  set imported_files {}
808
 
809
  set origination 2
810
 
811
  HandleException {
812
    AddImportedFiles $iProjHelper $imported_files $origination
813
  } "A problem occured while restoring imported files."
814
 
815
  set process_props {
816
      "A" "" "" "" "PROPEXT_SynthMultStyle_virtex2" "Auto"
817
      "A" "" "" "" "PROPEXT_xilxBitgCfg_DCIUpdateMode_virtex2p" "As Required"
818
      "A" "" "" "" "PROPEXT_xilxBitgCfg_TDO_virtex2p" "Float"
819
      "A" "" "" "" "PROPEXT_xilxBitgStart_Clk_Done_virtex2p" "Default (4)"
820
      "A" "" "" "" "PROPEXT_xilxMapGenInputK_virtex2" "4"
821
      "A" "" "" "" "PROPEXT_xilxSynthAddBufg_virtex2" "16"
822
      "A" "" "" "" "PROPEXT_xilxSynthMaxFanout_virtex2" "500"
823
      "A" "" "" "" "PROP_CPLDFitkeepio" "false"
824
      "A" "" "" "" "PROP_CompxlibAbelLib" "true"
825
      "A" "" "" "" "PROP_CompxlibCPLDDetLib" "true"
826
      "A" "" "" "" "PROP_CompxlibOtherCompxlibOpts" ""
827
      "A" "" "" "" "PROP_CompxlibOutputDir" "$XILINX//"
828
      "A" "" "" "" "PROP_CompxlibOverwriteLib" "Overwrite"
829
      "A" "" "" "" "PROP_CompxlibSimPath" "Search in Path"
830
      "A" "" "" "" "PROP_CompxlibSimPrimatives" "true"
831
      "A" "" "" "" "PROP_CompxlibXlnxCoreLib" "true"
832
      "A" "" "" "" "PROP_CurrentFloorplanFile" ""
833
      "A" "" "" "" "PROP_DesignName" "s3e_onewire_master"
834
      "A" "" "" "" "PROP_Dummy" "dum1"
835
      "A" "" "" "" "PROP_EnableWYSIWYG" "None"
836
      "A" "" "" "" "PROP_Enable_Incremental_Messaging" "false"
837
      "A" "" "" "" "PROP_Enable_Message_Capture" "true"
838
      "A" "" "" "" "PROP_Enable_Message_Filtering" "false"
839
      "A" "" "" "" "PROP_FunctionBlockInputLimit" "38"
840
      "A" "" "" "" "PROP_ISimLibSearchOrderFile" ""
841
      "A" "" "" "" "PROP_ISimSDFTimingToBeRead" "Setup Time"
842
      "A" "" "" "" "PROP_ISimUseCustomCompilationOrder" "false"
843
      "A" "" "" "" "PROP_ISimUseCustomSimCmdFile_behav_tb" "false"
844
      "A" "" "" "" "PROP_ISimUseCustomSimCmdFile_behav_tbw" "false"
845
      "A" "" "" "" "PROP_ISimUseCustomSimCmdFile_gen_tbw" "false"
846
      "A" "" "" "" "PROP_ISimUseCustomSimCmdFile_par_tb" "false"
847
      "A" "" "" "" "PROP_ISimUseCustomSimCmdFile_par_tbw" "false"
848
      "A" "" "" "" "PROP_ISimUutInstName" "UUT"
849
      "A" "" "" "" "PROP_ImpactProjectFile" ""
850
      "A" "" "" "" "PROP_MSimSDFTimingToBeRead" "Setup Time"
851
      "A" "" "" "" "PROP_ModelSimUseConfigName" "false"
852
      "A" "" "" "" "PROP_Parse_Target" "synthesis"
853
      "A" "" "" "" "PROP_PartitionCreateDelete" ""
854
      "A" "" "" "" "PROP_PartitionForcePlacement" ""
855
      "A" "" "" "" "PROP_PartitionForceSynth" ""
856
      "A" "" "" "" "PROP_PartitionForceTranslate" ""
857
      "A" "" "" "" "PROP_PlsClockEnable" "true"
858
      "A" "" "" "" "PROP_PostTrceFastPath" "false"
859
      "A" "" "" "" "PROP_PreTrceFastPath" "false"
860
      "A" "" "" "" "PROP_SimDo" "true"
861
      "A" "" "" "" "PROP_SimModelGenerateTestbenchFile" "false"
862
      "A" "" "" "" "PROP_SimModelInsertBuffersPulseSwallow" "false"
863
      "A" "" "" "" "PROP_SimModelOtherNetgenOpts" ""
864
      "A" "" "" "" "PROP_SimModelRetainHierarchy" "true"
865
      "A" "" "" "" "PROP_SimUseCustom_behav" "false"
866
      "A" "" "" "" "PROP_SimUseCustom_postMap" "false"
867
      "A" "" "" "" "PROP_SimUseCustom_postPar" "false"
868
      "A" "" "" "" "PROP_SimUseCustom_postXlate" "false"
869
      "A" "" "" "" "PROP_SynthCaseImplStyle" "None"
870
      "A" "" "" "" "PROP_SynthDecoderExtract" "true"
871
      "A" "" "" "" "PROP_SynthEncoderExtract" "Yes"
872
      "A" "" "" "" "PROP_SynthExtractMux" "Yes"
873
      "A" "" "" "" "PROP_SynthExtractRAM" "true"
874
      "A" "" "" "" "PROP_SynthExtractROM" "true"
875
      "A" "" "" "" "PROP_SynthFsmEncode" "Auto"
876
      "A" "" "" "" "PROP_SynthLogicalShifterExtract" "true"
877
      "A" "" "" "" "PROP_SynthOpt" "Speed"
878
      "A" "" "" "" "PROP_SynthOptEffort" "Normal"
879
      "A" "" "" "" "PROP_SynthResSharing" "true"
880
      "A" "" "" "" "PROP_SynthShiftRegExtract" "true"
881
      "A" "" "" "" "PROP_SynthXORCollapse" "true"
882
      "A" "" "" "" "PROP_Top_Level_Module_Type" "HDL"
883
      "A" "" "" "" "PROP_UseDataGate" "true"
884
      "A" "" "" "" "PROP_XPowerOptInputTclScript" ""
885
      "A" "" "" "" "PROP_XPowerOptLoadPCFFile" "Default"
886
      "A" "" "" "" "PROP_XPowerOptLoadVCDFile" "Default"
887
      "A" "" "" "" "PROP_XPowerOptLoadXMLFile" "Default"
888
      "A" "" "" "" "PROP_XPowerOptOutputFile" "Default"
889
      "A" "" "" "" "PROP_XPowerOptVerboseRpt" "false"
890
      "A" "" "" "" "PROP_XPowerOtherXPowerOpts" ""
891
      "A" "" "" "" "PROP_XplorerMode" "Off"
892
      "A" "" "" "" "PROP_bitgen_Encrypt_keySeq0" "None"
893
      "A" "" "" "" "PROP_bitgen_Encrypt_keySeq1" "None"
894
      "A" "" "" "" "PROP_bitgen_Encrypt_keySeq2" "None"
895
      "A" "" "" "" "PROP_bitgen_Encrypt_keySeq3" "None"
896
      "A" "" "" "" "PROP_bitgen_Encrypt_keySeq4" "None"
897
      "A" "" "" "" "PROP_bitgen_Encrypt_keySeq5" "None"
898
      "A" "" "" "" "PROP_bitgen_Encrypt_startCBC" ""
899
      "A" "" "" "" "PROP_bitgen_Encrypt_startKey" "None"
900
      "A" "" "" "" "PROP_bitgen_otherCmdLineOptions" ""
901
      "A" "" "" "" "PROP_cpldBestFit" "false"
902
      "A" "" "" "" "PROP_cpldfitHDLeqStyle" "Source"
903
      "A" "" "" "" "PROP_cpldfit_otherCmdLineOptions" ""
904
      "A" "" "" "" "PROP_fitGenSimModel" "false"
905
      "A" "" "" "" "PROP_hprep6_autosig" "false"
906
      "A" "" "" "" "PROP_hprep6_otherCmdLineOptions" ""
907
      "A" "" "" "" "PROP_ibiswriterEnableMultiLingualModel" "false"
908
      "A" "" "" "" "PROP_ibiswriterShowAllModels" "false"
909
      "A" "" "" "" "PROP_impactConfigFileName_CPLD" ""
910
      "A" "" "" "" "PROP_mapUseRLOCConstraints" "true"
911
      "A" "" "" "" "PROP_map_otherCmdLineOptions" ""
912
      "A" "" "" "" "PROP_mpprRsltToCopy" ""
913
      "A" "" "" "" "PROP_ngdbuildUseLOCConstraints" "true"
914
      "A" "" "" "" "PROP_ngdbuild_otherCmdLineOptions" ""
915
      "A" "" "" "" "PROP_parUseTimingConstraints" "true"
916
      "A" "" "" "" "PROP_par_otherCmdLineOptions" ""
917
      "A" "" "" "" "PROP_primeCorrelateOutput" "false"
918
      "A" "" "" "" "PROP_primeFlatternOutputNetlist" "false"
919
      "A" "" "" "" "PROP_primeTopLevelModule" ""
920
      "A" "" "" "" "PROP_primetimeBlockRamData" ""
921
      "A" "" "" "" "PROP_taengine_otherCmdLineOptions" ""
922
      "A" "" "" "" "PROP_xcpldFitDesInit" "Low"
923
      "A" "" "" "" "PROP_xcpldFitDesInputLmt_xbr" "32"
924
      "A" "" "" "" "PROP_xcpldFitDesMultiLogicOpt" "true"
925
      "A" "" "" "" "PROP_xcpldFitDesSlew" "Fast"
926
      "A" "" "" "" "PROP_xcpldFitDesTimingCst" "true"
927
      "A" "" "" "" "PROP_xcpldFitDesTriMode" "Keeper"
928
      "A" "" "" "" "PROP_xcpldFitDesUnused" "Keeper"
929
      "A" "" "" "" "PROP_xcpldFitDesVolt" "LVCMOS18"
930
      "A" "" "" "" "PROP_xcpldFitTemplate_xpla3" "Optimize Density"
931
      "A" "" "" "" "PROP_xcpldFittimRptOption" "Summary"
932
      "A" "" "" "" "PROP_xcpldUseGlobalClocks" "true"
933
      "A" "" "" "" "PROP_xcpldUseGlobalOutputEnables" "true"
934
      "A" "" "" "" "PROP_xcpldUseGlobalSetReset" "true"
935
      "A" "" "" "" "PROP_xcpldUseLocConst" "Always"
936
      "A" "" "" "" "PROP_xilxBitgCfg_Clk" "Pull Up"
937
      "A" "" "" "" "PROP_xilxBitgCfg_Code" "0xFFFFFFFF"
938
      "A" "" "" "" "PROP_xilxBitgCfg_DCMBandgap" "false"
939
      "A" "" "" "" "PROP_xilxBitgCfg_DCMShutdown" "false"
940
      "A" "" "" "" "PROP_xilxBitgCfg_Done" "Pull Up"
941
      "A" "" "" "" "PROP_xilxBitgCfg_GenOpt_ASCIIFile" "false"
942
      "A" "" "" "" "PROP_xilxBitgCfg_GenOpt_BinaryFile" "false"
943
      "A" "" "" "" "PROP_xilxBitgCfg_GenOpt_BitFile" "true"
944
      "A" "" "" "" "PROP_xilxBitgCfg_GenOpt_Compress" "false"
945
      "A" "" "" "" "PROP_xilxBitgCfg_GenOpt_DRC" "true"
946
      "A" "" "" "" "PROP_xilxBitgCfg_GenOpt_EnableCRC" "true"
947
      "A" "" "" "" "PROP_xilxBitgCfg_GenOpt_IEEE1532File" "false"
948
      "A" "" "" "" "PROP_xilxBitgCfg_GenOpt_IEEE1532File_xbr" "false"
949
      "A" "" "" "" "PROP_xilxBitgCfg_GenOpt_ReadBack" "false"
950
      "A" "" "" "" "PROP_xilxBitgCfg_M0" "Pull Up"
951
      "A" "" "" "" "PROP_xilxBitgCfg_M1" "Pull Up"
952
      "A" "" "" "" "PROP_xilxBitgCfg_M2" "Pull Up"
953
      "A" "" "" "" "PROP_xilxBitgCfg_Pgm" "Pull Up"
954
      "A" "" "" "" "PROP_xilxBitgCfg_PwrDown" "Pull Up"
955
      "A" "" "" "" "PROP_xilxBitgCfg_Rate" "4"
956
      "A" "" "" "" "PROP_xilxBitgCfg_TCK" "Pull Up"
957
      "A" "" "" "" "PROP_xilxBitgCfg_TDI" "Pull Up"
958
      "A" "" "" "" "PROP_xilxBitgCfg_TMS" "Pull Up"
959
      "A" "" "" "" "PROP_xilxBitgCfg_Unused" "Pull Down"
960
      "A" "" "" "" "PROP_xilxBitgReadBk_Sec" "Enable Readback and Reconfiguration"
961
      "A" "" "" "" "PROP_xilxBitgStart_Clk" "CCLK"
962
      "A" "" "" "" "PROP_xilxBitgStart_Clk_DriveDone" "false"
963
      "A" "" "" "" "PROP_xilxBitgStart_Clk_EnOut" "Default (5)"
964
      "A" "" "" "" "PROP_xilxBitgStart_Clk_MatchCycle" "Auto"
965
      "A" "" "" "" "PROP_xilxBitgStart_Clk_RelDLL" "Default (NoWait)"
966
      "A" "" "" "" "PROP_xilxBitgStart_Clk_WrtEn" "Default (6)"
967
      "A" "" "" "" "PROP_xilxBitgStart_IntDone" "false"
968
      "A" "" "" "" "PROP_xilxMapAllowLogicOpt" "false"
969
      "A" "" "" "" "PROP_xilxMapCoverMode" "Area"
970
      "A" "" "" "" "PROP_xilxMapDisableRegOrdering" "false"
971
      "A" "" "" "" "PROP_xilxMapPackRegInto" "For Inputs and Outputs"
972
      "A" "" "" "" "PROP_xilxMapReplicateLogic" "true"
973
      "A" "" "" "" "PROP_xilxMapReportDetail" "false"
974
      "A" "" "" "" "PROP_xilxMapSliceLogicInUnusedBRAMs" "false"
975
      "A" "" "" "" "PROP_xilxMapTimingDrivenPacking" "false"
976
      "A" "" "" "" "PROP_xilxMapTrimUnconnSig" "true"
977
      "A" "" "" "" "PROP_xilxNgdbldIOPads" "false"
978
      "A" "" "" "" "PROP_xilxNgdbldMacro" ""
979
      "A" "" "" "" "PROP_xilxNgdbldNTType" "Timestamp"
980
      "A" "" "" "" "PROP_xilxNgdbldPresHierarchy" "false"
981
      "A" "" "" "" "PROP_xilxNgdbldUR" ""
982
      "A" "" "" "" "PROP_xilxNgdbldUnexpBlks" "false"
983
      "A" "" "" "" "PROP_xilxNgdbld_AUL" "false"
984
      "A" "" "" "" "PROP_xilxPARplacerCostTable" "1"
985
      "A" "" "" "" "PROP_xilxPARplacerEffortLevel" "None"
986
      "A" "" "" "" "PROP_xilxPARrouterEffortLevel" "None"
987
      "A" "" "" "" "PROP_xilxPARstrat" "Normal Place and Route"
988
      "A" "" "" "" "PROP_xilxPARuseBondedIO" "false"
989
      "A" "" "" "" "PROP_xilxPostTrceAdvAna" "false"
990
      "A" "" "" "" "PROP_xilxPostTrceRpt" "Error Report"
991
      "A" "" "" "" "PROP_xilxPostTrceRptLimit" "3"
992
      "A" "" "" "" "PROP_xilxPostTrceStamp" ""
993
      "A" "" "" "" "PROP_xilxPostTrceTSIFile" ""
994
      "A" "" "" "" "PROP_xilxPostTrceUncovPath" ""
995
      "A" "" "" "" "PROP_xilxPreTrceAdvAna" "false"
996
      "A" "" "" "" "PROP_xilxPreTrceRpt" "Error Report"
997
      "A" "" "" "" "PROP_xilxPreTrceRptLimit" "3"
998
      "A" "" "" "" "PROP_xilxPreTrceUncovPath" ""
999
      "A" "" "" "" "PROP_xilxSynthAddIObuf" "true"
1000
      "A" "" "" "" "PROP_xilxSynthGlobOpt" "AllClockNets"
1001
      "A" "" "" "" "PROP_xilxSynthKeepHierarchy" "No"
1002
      "A" "" "" "" "PROP_xilxSynthKeepHierarchy_CPLD" "Yes"
1003
      "A" "" "" "" "PROP_xilxSynthMacroPreserve" "true"
1004
      "A" "" "" "" "PROP_xilxSynthRegBalancing" "No"
1005
      "A" "" "" "" "PROP_xilxSynthRegDuplication" "true"
1006
      "A" "" "" "" "PROP_xilxSynthXORPreserve" "true"
1007
      "A" "" "" "" "PROP_xilxTriStateBuffTXMode" "Off"
1008
      "A" "" "" "" "PROP_xstAsynToSync" "false"
1009
      "A" "" "" "" "PROP_xstAutoBRAMPacking" "false"
1010
      "A" "" "" "" "PROP_xstBRAMUtilRatio" "100"
1011
      "A" "" "" "" "PROP_xstBusDelimiter" "<>"
1012
      "A" "" "" "" "PROP_xstCase" "Maintain"
1013
      "A" "" "" "" "PROP_xstCoresSearchDir" ""
1014
      "A" "" "" "" "PROP_xstCrossClockAnalysis" "false"
1015
      "A" "" "" "" "PROP_xstEquivRegRemoval" "true"
1016
      "A" "" "" "" "PROP_xstFsmStyle" "LUT"
1017
      "A" "" "" "" "PROP_xstGenerateRTLNetlist" "Yes"
1018
      "A" "" "" "" "PROP_xstGenericsParameters" ""
1019
      "A" "" "" "" "PROP_xstHierarchySeparator" "/"
1020
      "A" "" "" "" "PROP_xstIniFile" ""
1021
      "A" "" "" "" "PROP_xstLibSearchOrder" ""
1022
      "A" "" "" "" "PROP_xstOptimizeInsPrimtives" "false"
1023
      "A" "" "" "" "PROP_xstPackIORegister" "Auto"
1024
      "A" "" "" "" "PROP_xstReadCores" "true"
1025
      "A" "" "" "" "PROP_xstSlicePacking" "true"
1026
      "A" "" "" "" "PROP_xstSliceUtilRatio" "100"
1027
      "A" "" "" "" "PROP_xstTristate2Logic" "Yes"
1028
      "A" "" "" "" "PROP_xstUseClockEnable" "Yes"
1029
      "A" "" "" "" "PROP_xstUseSyncReset" "Yes"
1030
      "A" "" "" "" "PROP_xstUseSyncSet" "Yes"
1031
      "A" "" "" "" "PROP_xstUseSynthConstFile" "true"
1032
      "A" "" "" "" "PROP_xstUserCompileList" ""
1033
      "A" "" "" "" "PROP_xstVeriIncludeDir_Global" ""
1034
      "A" "" "" "" "PROP_xstVerilog2001" "true"
1035
      "A" "" "" "" "PROP_xstVerilogMacros" ""
1036
      "A" "" "" "" "PROP_xstWorkDir" "./xst"
1037
      "A" "" "" "" "PROP_xstWriteTimingConstraints" "false"
1038
      "A" "" "" "" "PROP_xst_otherCmdLineOptions" ""
1039
      "A" "AutoGeneratedView" "VIEW_AbstractSimulation" "" "PROP_TopDesignUnit" "Architecture|s3e_onewire_master|IMP"
1040
      "A" "AutoGeneratedView" "VIEW_AnalyzedDesign" "" "PROP_TopDesignUnit" ""
1041
      "A" "AutoGeneratedView" "VIEW_AnnotatedPreSimulation" "" "PROP_ISimIncreCompilation" "true"
1042
      "A" "AutoGeneratedView" "VIEW_AnnotatedPreSimulation" "" "PROP_ISimSpecifyDefMacroAndValue" ""
1043
      "A" "AutoGeneratedView" "VIEW_AnnotatedPreSimulation" "" "PROP_ISimSpecifySearchDirectory" ""
1044
      "A" "AutoGeneratedView" "VIEW_AnnotatedPreSimulation" "" "PROP_ISimValueRangeCheck" "false"
1045
      "A" "AutoGeneratedView" "VIEW_AnnotatedPreSimulation" "" "PROP_TopDesignUnit" ""
1046
      "A" "AutoGeneratedView" "VIEW_AnnotatedResultsModelSim" "" "PROP_TopDesignUnit" ""
1047
      "A" "AutoGeneratedView" "VIEW_BehavioralSimulationModelSim" "" "PROP_TopDesignUnit" ""
1048
      "A" "AutoGeneratedView" "VIEW_FPGAConfiguration" "" "PROP_TopDesignUnit" ""
1049
      "A" "AutoGeneratedView" "VIEW_FPGAConfigureDevice" "" "PROP_TopDesignUnit" ""
1050
      "A" "AutoGeneratedView" "VIEW_FPGAGeneratePROM" "" "PROP_TopDesignUnit" ""
1051
      "A" "AutoGeneratedView" "VIEW_Map" "" "PROP_SmartGuide" "false"
1052
      "A" "AutoGeneratedView" "VIEW_Map" "" "PROP_TopDesignUnit" ""
1053
      "A" "AutoGeneratedView" "VIEW_Par" "" "PROP_TopDesignUnit" ""
1054
      "A" "AutoGeneratedView" "VIEW_Post-MapAbstractSimulation" "" "PROP_TopDesignUnit" ""
1055
      "A" "AutoGeneratedView" "VIEW_Post-MapPreSimulation" "" "PROP_TopDesignUnit" ""
1056
      "A" "AutoGeneratedView" "VIEW_Post-MapSimulationModelSim" "" "PROP_TopDesignUnit" ""
1057
      "A" "AutoGeneratedView" "VIEW_Post-ParAbstractSimulation" "" "PROP_TopDesignUnit" ""
1058
      "A" "AutoGeneratedView" "VIEW_Post-ParPreSimulation" "" "PROP_ISimCompileForHdlDebug" "true"
1059
      "A" "AutoGeneratedView" "VIEW_Post-ParPreSimulation" "" "PROP_ISimIncreCompilation" "true"
1060
      "A" "AutoGeneratedView" "VIEW_Post-ParPreSimulation" "" "PROP_ISimSpecifyDefMacroAndValue" ""
1061
      "A" "AutoGeneratedView" "VIEW_Post-ParPreSimulation" "" "PROP_ISimSpecifySearchDirectory" ""
1062
      "A" "AutoGeneratedView" "VIEW_Post-ParPreSimulation" "" "PROP_ISimValueRangeCheck" "false"
1063
      "A" "AutoGeneratedView" "VIEW_Post-ParPreSimulation" "" "PROP_TopDesignUnit" ""
1064
      "A" "AutoGeneratedView" "VIEW_Post-ParSimulationModelSim" "" "PROP_TopDesignUnit" ""
1065
      "A" "AutoGeneratedView" "VIEW_Post-SynthesisAbstractSimulation" "" "PROP_TopDesignUnit" ""
1066
      "A" "AutoGeneratedView" "VIEW_Post-TranslateAbstractSimulation" "" "PROP_TopDesignUnit" ""
1067
      "A" "AutoGeneratedView" "VIEW_Post-TranslatePreSimulation" "" "PROP_TopDesignUnit" ""
1068
      "A" "AutoGeneratedView" "VIEW_Post-TranslateSimulationModelSim" "" "PROP_TopDesignUnit" ""
1069
      "A" "AutoGeneratedView" "VIEW_PostAbstractSimulation" "" "PROP_TopDesignUnit" ""
1070
      "A" "AutoGeneratedView" "VIEW_PreSimulation" "" "PROP_ISimCompileForHdlDebug" "true"
1071
      "A" "AutoGeneratedView" "VIEW_PreSimulation" "" "PROP_ISimIncreCompilation" "true"
1072
      "A" "AutoGeneratedView" "VIEW_PreSimulation" "" "PROP_ISimSpecifyDefMacroAndValue" ""
1073
      "A" "AutoGeneratedView" "VIEW_PreSimulation" "" "PROP_ISimSpecifySearchDir" ""
1074
      "A" "AutoGeneratedView" "VIEW_PreSimulation" "" "PROP_ISimSpecifySearchDirectory" ""
1075
      "A" "AutoGeneratedView" "VIEW_PreSimulation" "" "PROP_ISimValueRangeCheck" "false"
1076
      "A" "AutoGeneratedView" "VIEW_PreSimulation" "" "PROP_TopDesignUnit" ""
1077
      "A" "AutoGeneratedView" "VIEW_Structural" "" "PROP_TopDesignUnit" ""
1078
      "A" "AutoGeneratedView" "VIEW_TBWBehavioralSimulationModelSim" "" "PROP_TopDesignUnit" ""
1079
      "A" "AutoGeneratedView" "VIEW_TBWPost-MapPreSimulation" "" "PROP_TopDesignUnit" ""
1080
      "A" "AutoGeneratedView" "VIEW_TBWPost-MapSimulationModelSim" "" "PROP_TopDesignUnit" ""
1081
      "A" "AutoGeneratedView" "VIEW_TBWPost-ParPreSimulation" "" "PROP_ISimCompileForHdlDebug" "true"
1082
      "A" "AutoGeneratedView" "VIEW_TBWPost-ParPreSimulation" "" "PROP_ISimIncreCompilation" "true"
1083
      "A" "AutoGeneratedView" "VIEW_TBWPost-ParPreSimulation" "" "PROP_ISimSpecifyDefMacroAndValue" ""
1084
      "A" "AutoGeneratedView" "VIEW_TBWPost-ParPreSimulation" "" "PROP_ISimSpecifySearchDirectory" ""
1085
      "A" "AutoGeneratedView" "VIEW_TBWPost-ParPreSimulation" "" "PROP_ISimValueRangeCheck" "false"
1086
      "A" "AutoGeneratedView" "VIEW_TBWPost-ParPreSimulation" "" "PROP_TopDesignUnit" ""
1087
      "A" "AutoGeneratedView" "VIEW_TBWPost-ParSimulationModelSim" "" "PROP_TopDesignUnit" ""
1088
      "A" "AutoGeneratedView" "VIEW_TBWPost-TranslatePreSimulation" "" "PROP_TopDesignUnit" ""
1089
      "A" "AutoGeneratedView" "VIEW_TBWPost-TranslateSimulationModelSim" "" "PROP_TopDesignUnit" ""
1090
      "A" "AutoGeneratedView" "VIEW_TBWPreSimulation" "" "PROP_ISimCompileForHdlDebug" "true"
1091
      "A" "AutoGeneratedView" "VIEW_TBWPreSimulation" "" "PROP_ISimIncreCompilation" "true"
1092
      "A" "AutoGeneratedView" "VIEW_TBWPreSimulation" "" "PROP_ISimSpecifyDefMacroAndValue" ""
1093
      "A" "AutoGeneratedView" "VIEW_TBWPreSimulation" "" "PROP_ISimSpecifySearchDirectory" ""
1094
      "A" "AutoGeneratedView" "VIEW_TBWPreSimulation" "" "PROP_ISimValueRangeCheck" "false"
1095
      "A" "AutoGeneratedView" "VIEW_TBWPreSimulation" "" "PROP_TopDesignUnit" ""
1096
      "A" "AutoGeneratedView" "VIEW_Translation" "" "PROP_SmartGuide" "false"
1097
      "A" "AutoGeneratedView" "VIEW_Translation" "" "PROP_TopDesignUnit" ""
1098
      "A" "AutoGeneratedView" "VIEW_UpdatedBitstream" "" "PROP_TopDesignUnit" ""
1099
      "A" "AutoGeneratedView" "VIEW_XSTAbstractSynthesis" "" "PROP_SmartGuide" "false"
1100
      "A" "AutoGeneratedView" "VIEW_XSTAbstractSynthesis" "" "PROP_TopDesignUnit" "Architecture|s3e_onewire_master|IMP"
1101
      "A" "AutoGeneratedView" "VIEW_XSTPreSynthesis" "" "PROP_TopDesignUnit" ""
1102
      "A" "AutoGeneratedView" "VIEW_XSTPreSynthesis" "" "PROP_xstVeriIncludeDir" ""
1103
      "A" "VIEW_Initial" "VIEW_Initial" "" "PROP_TopDesignUnit" "Architecture|s3e_onewire_master|IMP"
1104
      "B" "" "" "" "PROP_AutoGenFile" "false"
1105
      "B" "" "" "" "PROP_DevFamily" "Virtex2P"
1106
      "B" "" "" "" "PROP_FitterOptimization_xpla3" "Density"
1107
      "B" "" "" "" "PROP_ISimCustomCompilationOrderFile" ""
1108
      "B" "" "" "" "PROP_ISimCustomSimCmdFileName_behav_tb" ""
1109
      "B" "" "" "" "PROP_ISimCustomSimCmdFileName_behav_tbw" ""
1110
      "B" "" "" "" "PROP_ISimCustomSimCmdFileName_gen_tbw" ""
1111
      "B" "" "" "" "PROP_ISimCustomSimCmdFileName_par_tb" ""
1112
      "B" "" "" "" "PROP_ISimCustomSimCmdFileName_par_tbw" ""
1113
      "B" "" "" "" "PROP_ISimGenVCDFile_par_tb" "false"
1114
      "B" "" "" "" "PROP_ISimGenVCDFile_par_tbw" "false"
1115
      "B" "" "" "" "PROP_ISimSimulationRun_behav_tb" "true"
1116
      "B" "" "" "" "PROP_ISimSimulationRun_behav_tbw" "true"
1117
      "B" "" "" "" "PROP_ISimSimulationRun_par_tb" "true"
1118
      "B" "" "" "" "PROP_ISimSimulationRun_par_tbw" "true"
1119
      "B" "" "" "" "PROP_ISimStoreAllSignalTransitions_behav_tb" "false"
1120
      "B" "" "" "" "PROP_ISimStoreAllSignalTransitions_behav_tbw" "false"
1121
      "B" "" "" "" "PROP_ISimStoreAllSignalTransitions_par_tb" "false"
1122
      "B" "" "" "" "PROP_ISimStoreAllSignalTransitions_par_tbw" "false"
1123
      "B" "" "" "" "PROP_MapEffortLevel" "Medium"
1124
      "B" "" "" "" "PROP_MapLogicOptimization" "false"
1125
      "B" "" "" "" "PROP_MapPlacerCostTable" "1"
1126
      "B" "" "" "" "PROP_MapRegDuplication" "false"
1127
      "B" "" "" "" "PROP_ModelSimConfigName" "Default"
1128
      "B" "" "" "" "PROP_ModelSimDataWin" "false"
1129
      "B" "" "" "" "PROP_ModelSimListWin" "false"
1130
      "B" "" "" "" "PROP_ModelSimProcWin" "false"
1131
      "B" "" "" "" "PROP_ModelSimSignalWin" "true"
1132
      "B" "" "" "" "PROP_ModelSimSimRes" "Default (1 ps)"
1133
      "B" "" "" "" "PROP_ModelSimSimRunTime_tb" "1000ns"
1134
      "B" "" "" "" "PROP_ModelSimSimRunTime_tbw" "1000ns"
1135
      "B" "" "" "" "PROP_ModelSimSourceWin" "false"
1136
      "B" "" "" "" "PROP_ModelSimStructWin" "true"
1137
      "B" "" "" "" "PROP_ModelSimUutInstName_postMap" "UUT"
1138
      "B" "" "" "" "PROP_ModelSimUutInstName_postPar" "UUT"
1139
      "B" "" "" "" "PROP_ModelSimVarsWin" "false"
1140
      "B" "" "" "" "PROP_ModelSimWaveWin" "true"
1141
      "B" "" "" "" "PROP_SimCustom_behav" ""
1142
      "B" "" "" "" "PROP_SimCustom_postMap" ""
1143
      "B" "" "" "" "PROP_SimCustom_postPar" ""
1144
      "B" "" "" "" "PROP_SimCustom_postXlate" ""
1145
      "B" "" "" "" "PROP_SimGenVcdFile" "false"
1146
      "B" "" "" "" "PROP_SimModelRenTopLevInstTo" "UUT"
1147
      "B" "" "" "" "PROP_SimSyntax" "93"
1148
      "B" "" "" "" "PROP_SimUseExpDeclOnly" "true"
1149
      "B" "" "" "" "PROP_SimUserCompileList_behav" ""
1150
      "B" "" "" "" "PROP_Simulator" "Modelsim-SE Mixed"
1151
      "B" "" "" "" "PROP_SynthConstraintsFile" ""
1152
      "B" "" "" "" "PROP_SynthMuxStyle" "Auto"
1153
      "B" "" "" "" "PROP_SynthRAMStyle" "Auto"
1154
      "B" "" "" "" "PROP_XPowerOptAdvancedVerboseRpt" "false"
1155
      "B" "" "" "" "PROP_XPowerOptMaxNumberLines" "1000"
1156
      "B" "" "" "" "PROP_XPowerOptUseTimeBased" "false"
1157
      "B" "" "" "" "PROP_bitgen_Encrypt_Encrypt" "false"
1158
      "B" "" "" "" "PROP_impactBaud" "None"
1159
      "B" "" "" "" "PROP_impactConfigMode" "None"
1160
      "B" "" "" "" "PROP_impactPort" "None"
1161
      "B" "" "" "" "PROP_parGenAsyDlyRpt" "false"
1162
      "B" "" "" "" "PROP_parGenClkRegionRpt" "false"
1163
      "B" "" "" "" "PROP_parGenSimModel" "false"
1164
      "B" "" "" "" "PROP_parGenTimingRpt" "true"
1165
      "B" "" "" "" "PROP_parMpprNodelistFile" ""
1166
      "B" "" "" "" "PROP_parMpprParIterations" "3"
1167
      "B" "" "" "" "PROP_parMpprResultsDirectory" ""
1168
      "B" "" "" "" "PROP_parMpprResultsToSave" ""
1169
      "B" "" "" "" "PROP_parPowerReduction" "false"
1170
      "B" "" "" "" "PROP_vcom_otherCmdLineOptions" ""
1171
      "B" "" "" "" "PROP_vlog_otherCmdLineOptions" ""
1172
      "B" "" "" "" "PROP_vsim_otherCmdLineOptions" ""
1173
      "B" "" "" "" "PROP_xcpldFitDesInReg_xbr" "true"
1174
      "B" "" "" "" "PROP_xcpldFitDesPtermLmt_xbr" "28"
1175
      "B" "" "" "" "PROP_xilxBitgCfg_GenOpt_DbgBitStr" "false"
1176
      "B" "" "" "" "PROP_xilxBitgCfg_GenOpt_LogicAllocFile" "false"
1177
      "B" "" "" "" "PROP_xilxBitgCfg_GenOpt_MaskFile" "false"
1178
      "B" "" "" "" "PROP_xilxBitgReadBk_GenBitStr" "false"
1179
      "B" "" "" "" "PROP_xilxMapPackfactor" "100"
1180
      "B" "" "" "" "PROP_xilxPAReffortLevel" "Standard"
1181
      "B" "" "" "" "PROP_xstMoveFirstFfStage" "true"
1182
      "B" "" "" "" "PROP_xstMoveLastFfStage" "true"
1183
      "B" "" "" "" "PROP_xstROMStyle" "Auto"
1184
      "B" "" "" "" "PROP_xstSafeImplement" "No"
1185
      "B" "AutoGeneratedView" "VIEW_Map" "" "PROP_ParSmartGuideFileName" ""
1186
      "B" "AutoGeneratedView" "VIEW_Translation" "" "PROP_MapSmartGuideFileName" ""
1187
      "C" "" "" "" "PROP_AceActiveName" ""
1188
      "C" "" "" "" "PROP_CompxlibLang" "All"
1189
      "C" "" "" "" "PROP_CompxlibSmartModels" "true"
1190
      "C" "" "" "" "PROP_CompxlibUpdateIniForSmartModel" "false"
1191
      "C" "" "" "" "PROP_DevDevice" "xc2vp7"
1192
      "C" "" "" "" "PROP_DevFamilyPMName" "virtex2p"
1193
      "C" "" "" "" "PROP_ISimSimulationRunTime_behav_tb" "1000 ns"
1194
      "C" "" "" "" "PROP_ISimSimulationRunTime_behav_tbw" "1000 ns"
1195
      "C" "" "" "" "PROP_ISimSimulationRunTime_par_tb" "1000 ns"
1196
      "C" "" "" "" "PROP_ISimSimulationRunTime_par_tbw" "1000 ns"
1197
      "C" "" "" "" "PROP_ISimVCDFileName_par_tb" "xpower.vcd"
1198
      "C" "" "" "" "PROP_ISimVCDFileName_par_tbw" "xpower.vcd"
1199
      "C" "" "" "" "PROP_MapExtraEffort" "None"
1200
      "C" "" "" "" "PROP_SimModelGenMultiHierFile" "false"
1201
      "C" "" "" "" "PROP_XPowerOptBaseTimeUnit" "ps"
1202
      "C" "" "" "" "PROP_XPowerOptNumberOfUnits" "1"
1203
      "C" "" "" "" "PROP_bitgen_Encrypt_key0" ""
1204
      "C" "" "" "" "PROP_bitgen_Encrypt_key1" ""
1205
      "C" "" "" "" "PROP_bitgen_Encrypt_key2" ""
1206
      "C" "" "" "" "PROP_bitgen_Encrypt_key3" ""
1207
      "C" "" "" "" "PROP_bitgen_Encrypt_key4" ""
1208
      "C" "" "" "" "PROP_bitgen_Encrypt_key5" ""
1209
      "C" "" "" "" "PROP_bitgen_Encrypt_keyFile" ""
1210
      "C" "" "" "" "PROP_impactConfigFileName" ""
1211
      "C" "" "" "" "PROP_xilxBitgCfg_GenOpt_ReadBack_virtex2" "false"
1212
      "C" "" "" "" "PROP_xilxPARextraEffortLevel" "None"
1213
      "D" "" "" "" "PROP_CompxlibUni9000Lib" "true"
1214
      "D" "" "" "" "PROP_CompxlibUniSimLib" "true"
1215
      "D" "" "" "" "PROP_DevPackage" "fg456"
1216
      "D" "" "" "" "PROP_Synthesis_Tool" "XST (VHDL/Verilog)"
1217
      "D" "" "" "" "PROP_xilxBitgCfg_GenOpt_LogicAllocFile_virtex2" "false"
1218
      "D" "" "" "" "PROP_xilxBitgCfg_GenOpt_MaskFile_virtex2" "false"
1219
      "D" "" "" "" "PROP_xilxBitgReadBk_GenBitStr_virtex2" "false"
1220
      "E" "" "" "" "PROP_DevSpeed" "-6"
1221
      "E" "" "" "" "PROP_PreferredLanguage" "Verilog"
1222
      "F" "" "" "" "PROP_ChangeDevSpeed" "-6"
1223
      "F" "" "" "" "PROP_SimModelTarget" "Verilog"
1224
      "F" "" "" "" "PROP_tbwTestbenchTargetLang" "Verilog"
1225
      "F" "" "" "" "PROP_xilxPostTrceSpeed" "-6"
1226
      "F" "" "" "" "PROP_xilxPreTrceSpeed" "-6"
1227
      "G" "" "" "" "PROP_PostSynthSimModelName" "_synthesis.v"
1228
      "G" "" "" "" "PROP_SimModelAutoInsertGlblModuleInNetlist" "true"
1229
      "G" "" "" "" "PROP_SimModelGenArchOnly" "false"
1230
      "G" "" "" "" "PROP_SimModelIncSdfAnnInVerilogFile" "true"
1231
      "G" "" "" "" "PROP_SimModelIncSimprimInVerilogFile" "false"
1232
      "G" "" "" "" "PROP_SimModelIncUnisimInVerilogFile" "false"
1233
      "G" "" "" "" "PROP_SimModelIncUselibDirInVerilogFile" "false"
1234
      "G" "" "" "" "PROP_SimModelNoEscapeSignal" "false"
1235
      "G" "" "" "" "PROP_SimModelOutputExtIdent" "false"
1236
      "G" "" "" "" "PROP_SimModelRenTopLevArchTo" "Structure"
1237
      "G" "" "" "" "PROP_SimModelRenTopLevMod" ""
1238
      "G" "AutoGeneratedView" "VIEW_Map" "" "PROP_PostMapSimModelName" "_map.v"
1239
      "G" "AutoGeneratedView" "VIEW_Par" "" "PROP_PostParSimModelName" "_timesim.v"
1240
      "G" "AutoGeneratedView" "VIEW_Post-MapAbstractSimulation" "" "PROP_tbwPostMapTestbenchName" ""
1241
      "G" "AutoGeneratedView" "VIEW_Post-ParAbstractSimulation" "" "PROP_tbwPostParTestbenchName" ""
1242
      "G" "AutoGeneratedView" "VIEW_Post-TranslateAbstractSimulation" "" "PROP_tbwPostXlateTestbenchName" ""
1243
      "G" "AutoGeneratedView" "VIEW_TBWPost-MapPreSimulation" "" "PROP_tbwPostMapTestbenchName" ""
1244
      "G" "AutoGeneratedView" "VIEW_TBWPost-ParPreSimulation" "" "PROP_tbwPostParTestbenchName" ""
1245
      "G" "AutoGeneratedView" "VIEW_TBWPost-TranslatePreSimulation" "" "PROP_tbwPostXlateTestbenchName" ""
1246
      "G" "AutoGeneratedView" "VIEW_Translation" "" "PROP_PostXlateSimModelName" "_translate.v"
1247
      "H" "" "" "" "PROP_SimModelBringOutGsrNetAsAPort" "false"
1248
      "H" "" "" "" "PROP_SimModelBringOutGtsNetAsAPort" "false"
1249
      "H" "" "" "" "PROP_SimModelPathUsedInSdfAnn" "Default"
1250
      "H" "AutoGeneratedView" "VIEW_Map" "" "PROP_SimModelRenTopLevEntTo" ""
1251
      "H" "AutoGeneratedView" "VIEW_Par" "" "PROP_SimModelRenTopLevEntTo" ""
1252
      "H" "AutoGeneratedView" "VIEW_Structural" "" "PROP_SimModelRenTopLevEntTo" ""
1253
      "H" "AutoGeneratedView" "VIEW_Translation" "" "PROP_SimModelRenTopLevEntTo" ""
1254
      "I" "" "" "" "PROP_SimModelGsrPortName" "GSR_PORT"
1255
      "I" "" "" "" "PROP_SimModelGtsPortName" "GTS_PORT"
1256
      "I" "" "" "" "PROP_SimModelRocPulseWidth" "100"
1257
      "I" "" "" "" "PROP_SimModelTocPulseWidth" "0"}
1258
 
1259
  HandleException {
1260
    RestoreProcessProperties $iProjHelper $process_props
1261
  } "A problem occured while restoring process properties."
1262
 
1263
   # library names and their members
1264
   set libraries {
1265
      "interrupt_control_v1_00_a"
1266
      {
1267
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/interrupt_control_v1_00_a/hdl/vhdl/interrupt_control.vhd"
1268
       }
1269
      "opb_ipif_v3_01_c"
1270
      {
1271
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/opb_ipif_v3_01_c/hdl/vhdl/srl_fifo3.vhd"
1272
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/opb_ipif_v3_01_c/hdl/vhdl/brst_addr_cntr.vhd"
1273
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/opb_ipif_v3_01_c/hdl/vhdl/brst_addr_cntr_reg.vhd"
1274
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/opb_ipif_v3_01_c/hdl/vhdl/reset_mir.vhd"
1275
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/opb_ipif_v3_01_c/hdl/vhdl/opb_be_gen.vhd"
1276
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/opb_ipif_v3_01_c/hdl/vhdl/opb_flex_addr_cntr.vhd"
1277
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/opb_ipif_v3_01_c/hdl/vhdl/write_buffer.vhd"
1278
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/opb_ipif_v3_01_c/hdl/vhdl/opb_bam.vhd"
1279
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/opb_ipif_v3_01_c/hdl/vhdl/opb_ipif.vhd"
1280
       }
1281
      "proc_common_v2_00_a"
1282
      {
1283
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/pf_occ_counter.vhd"
1284
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/pf_counter_bit.vhd"
1285
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/family.vhd"
1286
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/or_muxcy.vhd"
1287
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/or_gate.vhd"
1288
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/proc_common_pkg.vhd"
1289
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/counter.vhd"
1290
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/counter_bit.vhd"
1291
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/inferred_lut4.vhd"
1292
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/srl_fifo2.vhd"
1293
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/pf_counter.vhd"
1294
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/pf_occ_counter_top.vhd"
1295
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/pf_counter_top.vhd"
1296
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/valid_be.vhd"
1297
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/pf_adder.vhd"
1298
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/pf_dpram_select.vhd"
1299
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/pf_adder_bit.vhd"
1300
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/pselect.vhd"
1301
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/ipif_pkg.vhd"
1302
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/srl16_fifo.vhd"
1303
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/ld_arith_reg.vhd"
1304
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/ipif_steer.vhd"
1305
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/mux_onehot.vhd"
1306
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/down_counter.vhd"
1307
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v2_00_a/hdl/vhdl/direct_path_cntr_ai.vhd"
1308
       }
1309
      "rdpfifo_v1_01_b"
1310
      {
1311
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/rdpfifo_v1_01_b/hdl/vhdl/ipif_control_rd.vhd"
1312
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/rdpfifo_v1_01_b/hdl/vhdl/rdpfifo_dp_cntl.vhd"
1313
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/rdpfifo_v1_01_b/hdl/vhdl/rdpfifo_top.vhd"
1314
       }
1315
      "s3e_onewire_master_v1_00_a"
1316
      {
1317
       "../../hdl/vhdl/s3e_onewire_master.vhd"
1318
       }
1319
      "wrpfifo_v1_01_b"
1320
      {
1321
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/wrpfifo_v1_01_b/hdl/vhdl/wrpfifo_dp_cntl.vhd"
1322
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/wrpfifo_v1_01_b/hdl/vhdl/pf_dly1_mux.vhd"
1323
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/wrpfifo_v1_01_b/hdl/vhdl/ipif_control_wr.vhd"
1324
       "../../../../../../EDK/hw/XilinxProcessorIPLib/pcores/wrpfifo_v1_01_b/hdl/vhdl/wrpfifo_top.vhd"
1325
       }
1326
   }
1327
 
1328
  HandleException {
1329
    RestoreSourceLibraries $iProjHelper $libraries
1330
  } "A problem occured while restoring source libraries."
1331
 
1332
   # Close the facilitator project.
1333
   CloseFacilProject $iProjHelper
1334
 
1335
   # Open the restored project in the user's client application,
1336
   # which will either be the Projnav GUI or xtclsh.
1337
   project open $project_file
1338
 
1339
   # Let the user know about the backed up project file.
1340
   INFO "The project \"$project_file\" was backed up as \"$backup_file\"."
1341
   INFO "Please open a Technical Support WebCase at"
1342
   INFO "www.xilinx.com/support/clearexpress/websupport.htm"
1343
   INFO "and submit this file, along with the project source files, for evaluation."
1344
}
1345
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.