OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [Open8_pkg.vhd] - Blame information for rev 183

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 181 jshamlet
-- Copyright (c)2006,2011,2012,2013,2015 Jeremy Seth Henry
2
-- All rights reserved.
3
--
4
-- Redistribution and use in source and binary forms, with or without
5
-- modification, are permitted provided that the following conditions are met:
6
--     * Redistributions of source code must retain the above copyright
7
--       notice, this list of conditions and the following disclaimer.
8
--     * Redistributions in binary form must reproduce the above copyright
9
--       notice, this list of conditions and the following disclaimer in the
10
--       documentation and/or other materials provided with the distribution,
11
--       where applicable (as part of a user interface, debugging port, etc.)
12
--
13
-- THIS SOFTWARE IS PROVIDED BY JEREMY SETH HENRY ``AS IS'' AND ANY
14
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
15
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
16
-- DISCLAIMED. IN NO EVENT SHALL JEREMY SETH HENRY BE LIABLE FOR ANY
17
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
18
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
19
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
20
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
21
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
22
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
23
 
24
-- VHDL Units :  Open8_pkg
25
-- Description:  Contains constant definitions for the Open8 processor
26
-- Revision History
27
-- Author          Date     Change
28
------------------ -------- ---------------------------------------------------
29
-- Seth Henry      07/22/06 Design Start
30
-- Seth Henry      02/03/12 Updated generics to match current model
31
-- Seth Henry      10/29/15 Migrated type/constant definitions to this file
32 183 jshamlet
-- Seth Henry      03/09/20 Created new ALU/SP opcodes for handling new RSP
33 181 jshamlet
 
34
library ieee;
35
use ieee.std_logic_1164.all;
36
 
37
package Open8_pkg is
38
 
39
-------------------------------------------------------------------------------
40
-- External constants and type declarations
41
--
42
-- These subtypes can be used with external peripherals to simplify
43
--  connection to the core.
44
-------------------------------------------------------------------------------
45
 
46
  -- These must never be changed, as the core requires them to be these static
47
  --  values for proper operation. These are ONLY defined here to allow user
48
  --  code to dynamically configure itself to match the Open8 core ONLY.
49
 
50
  constant OPEN8_ADDR_WIDTH  : integer := 16; -- DON'T EVEN CONTEMPLATE
51
  constant OPEN8_DATA_WIDTH  : integer := 8;  -- CHANGING THESE!
52
 
53
  subtype ADDRESS_TYPE is std_logic_vector(OPEN8_ADDR_WIDTH - 1 downto 0);
54
  subtype DATA_TYPE    is std_logic_vector(OPEN8_DATA_WIDTH - 1 downto 0);
55
  -- Note: INTERRUPT_BUNDLE must be exactly the same width as DATA_TYPE
56
  subtype INTERRUPT_BUNDLE is DATA_TYPE;
57
 
58
  -- Component declaration
59
  --  (assumes a 1K RAM at 0x0000 and ROM at the end of the memory map)
60 183 jshamlet
  component o8_cpu is
61 181 jshamlet
  generic(
62
    Program_Start_Addr       : ADDRESS_TYPE := x"8000"; -- Initial PC location
63
    ISR_Start_Addr           : ADDRESS_TYPE := x"FFF0"; -- Bottom of ISR vec's
64
    Stack_Start_Addr         : ADDRESS_TYPE := x"03FF"; -- Top of Stack
65
    Allow_Stack_Address_Move : boolean      := false;   -- Use Normal v8 RSP
66
    Stack_Xfer_Flag          : integer      := 4;       -- If enabled, GP1 alters RSP
67
    Enable_Auto_Increment    : boolean      := false;   -- Modify indexed instr
68
    BRK_Implements_WAI       : boolean      := false;   -- BRK -> Wait for Int
69
    Enable_NMI               : boolean      := true;    -- Force INTR0 enabled
70
    Default_Interrupt_Mask   : DATA_TYPE    := x"FF";   -- Enable all Ints
71
    Reset_Level              : std_logic    := '0' );   -- Active reset level
72
  port(
73
    Clock                    : in  std_logic;
74
    Reset                    : in  std_logic;
75
    Interrupts               : in  INTERRUPT_BUNDLE;
76
    Address                  : out ADDRESS_TYPE;
77
    Rd_Data                  : in  DATA_TYPE;
78
    Rd_Enable                : out std_logic;
79
    Wr_Data                  : out DATA_TYPE;
80
    Wr_Enable                : out std_logic );
81
  end component;
82
 
83
-------------------------------------------------------------------------------
84
-- Internal constants and type declarations.
85
--
86
-- These are only used in the actual model, and aren't generally useful for
87
--  external application.
88
-------------------------------------------------------------------------------
89
 
90
  subtype OPCODE_TYPE  is std_logic_vector(4 downto 0);
91
  subtype SUBOP_TYPE   is std_logic_vector(2 downto 0);
92
 
93
  -- All opcodes should be identical to the opcode used by the assembler
94
  -- In this case, they match the original V8/ARC uRISC ISA
95
  constant OP_INC            : OPCODE_TYPE := "00000";
96
  constant OP_ADC            : OPCODE_TYPE := "00001";
97
  constant OP_TX0            : OPCODE_TYPE := "00010";
98
  constant OP_OR             : OPCODE_TYPE := "00011";
99
  constant OP_AND            : OPCODE_TYPE := "00100";
100
  constant OP_XOR            : OPCODE_TYPE := "00101";
101
  constant OP_ROL            : OPCODE_TYPE := "00110";
102
  constant OP_ROR            : OPCODE_TYPE := "00111";
103
  constant OP_DEC            : OPCODE_TYPE := "01000";
104
  constant OP_SBC            : OPCODE_TYPE := "01001";
105
  constant OP_ADD            : OPCODE_TYPE := "01010";
106
  constant OP_STP            : OPCODE_TYPE := "01011";
107
  constant OP_BTT            : OPCODE_TYPE := "01100";
108
  constant OP_CLP            : OPCODE_TYPE := "01101";
109
  constant OP_T0X            : OPCODE_TYPE := "01110";
110
  constant OP_CMP            : OPCODE_TYPE := "01111";
111
  constant OP_PSH            : OPCODE_TYPE := "10000";
112
  constant OP_POP            : OPCODE_TYPE := "10001";
113
  constant OP_BR0            : OPCODE_TYPE := "10010";
114
  constant OP_BR1            : OPCODE_TYPE := "10011";
115
  constant OP_DBNZ           : OPCODE_TYPE := "10100"; -- USR
116
  constant OP_INT            : OPCODE_TYPE := "10101";
117
  constant OP_MUL            : OPCODE_TYPE := "10110"; -- USR2
118
  constant OP_STK            : OPCODE_TYPE := "10111";
119
  constant OP_UPP            : OPCODE_TYPE := "11000";
120
  constant OP_STA            : OPCODE_TYPE := "11001";
121
  constant OP_STX            : OPCODE_TYPE := "11010";
122
  constant OP_STO            : OPCODE_TYPE := "11011";
123
  constant OP_LDI            : OPCODE_TYPE := "11100";
124
  constant OP_LDA            : OPCODE_TYPE := "11101";
125
  constant OP_LDX            : OPCODE_TYPE := "11110";
126
  constant OP_LDO            : OPCODE_TYPE := "11111";
127
 
128
  -- OP_STK uses the lower 3 bits to further refine the instruction by
129
  --  repurposing the source register field. These "sub opcodes" are
130
  --  take the place of the register select for the OP_STK opcode
131
  constant SOP_RSP           : SUBOP_TYPE := "000";
132
  constant SOP_RTS           : SUBOP_TYPE := "001";
133
  constant SOP_RTI           : SUBOP_TYPE := "010";
134
  constant SOP_BRK           : SUBOP_TYPE := "011";
135
  constant SOP_JMP           : SUBOP_TYPE := "100";
136
  constant SOP_SMSK          : SUBOP_TYPE := "101";
137
  constant SOP_GMSK          : SUBOP_TYPE := "110";
138
  constant SOP_JSR           : SUBOP_TYPE := "111";
139
 
140
  type CPU_STATES is (
141
      -- Instruction fetch & Decode
142
    PIPE_FILL_0, PIPE_FILL_1, PIPE_FILL_2, INSTR_DECODE,
143
    -- Branching
144
    BRN_C1, DBNZ_C1, JMP_C1, JMP_C2,
145
    -- Loads
146
    LDA_C1, LDA_C2, LDA_C3, LDA_C4, LDI_C1, LDO_C1, LDX_C1, LDX_C2, LDX_C3, LDX_C4,
147
    -- Stores
148
    STA_C1, STA_C2, STA_C3, STO_C1, STO_C2, STX_C1, STX_C2,
149
    -- 2-cycle math
150
    MUL_C1, UPP_C1,
151
    -- Stack
152
    PSH_C1, POP_C1, POP_C2, POP_C3, POP_C4,
153
    -- Subroutines & Interrupts
154
    WAIT_FOR_INT, ISR_C1, ISR_C2, ISR_C3, JSR_C1, JSR_C2,
155
    RTS_C1, RTS_C2, RTS_C3, RTS_C4, RTS_C5, RTI_C6,
156
    -- Debugging
157
    BRK_C1 );
158
 
159
  type CACHE_MODES is (CACHE_IDLE, CACHE_INSTR, CACHE_OPER1, CACHE_OPER2,
160
                       CACHE_PREFETCH );
161
 
162
  type PC_MODES is ( PC_IDLE, PC_REV1, PC_REV2, PC_INCR, PC_LOAD );
163
 
164
  type PC_CTRL_TYPE is record
165
    Oper                     : PC_MODES;
166
    Offset                   : DATA_TYPE;
167
    Addr                     : ADDRESS_TYPE;
168
  end record;
169
 
170
  type SP_MODES is ( SP_IDLE, SP_CLR, SP_SET, SP_POP, SP_PUSH );
171
 
172
  type SP_CTRL_TYPE is record
173
    Oper                     : SP_MODES;
174
  end record;
175
 
176
  type DP_MODES is ( DATA_BUS_IDLE, DATA_RD_MEM,
177
                     DATA_WR_REG, DATA_WR_FLAG, DATA_WR_PC );
178
 
179
  type DATA_CTRL_TYPE is record
180
    Src                      : DP_MODES;
181
    Reg                      : SUBOP_TYPE;
182
  end record;
183
 
184 182 jshamlet
  constant PC_LSB            : SUBOP_TYPE := "000";
185
  constant PC_MSB            : SUBOP_TYPE := "001";
186
 
187 181 jshamlet
  type INT_CTRL_TYPE is record
188
    Mask_Set                 : std_logic;
189
    Soft_Ints                : INTERRUPT_BUNDLE;
190
    Incr_ISR                 : std_logic;
191
  end record;
192
 
193
  -- Most of the ALU instructions are the same as their Opcode equivalents with
194
  -- three exceptions (for IDLE, UPP2, and MUL2)
195
  constant ALU_INC           : OPCODE_TYPE := "00000"; -- x"00"
196
  constant ALU_ADC           : OPCODE_TYPE := "00001"; -- x"01"
197
  constant ALU_TX0           : OPCODE_TYPE := "00010"; -- x"02"
198
  constant ALU_OR            : OPCODE_TYPE := "00011"; -- x"03"
199
  constant ALU_AND           : OPCODE_TYPE := "00100"; -- x"04"
200
  constant ALU_XOR           : OPCODE_TYPE := "00101"; -- x"05"
201
  constant ALU_ROL           : OPCODE_TYPE := "00110"; -- x"06"
202
  constant ALU_ROR           : OPCODE_TYPE := "00111"; -- x"07"
203
  constant ALU_DEC           : OPCODE_TYPE := "01000"; -- x"08"
204
  constant ALU_SBC           : OPCODE_TYPE := "01001"; -- x"09"
205
  constant ALU_ADD           : OPCODE_TYPE := "01010"; -- x"0A"
206
  constant ALU_STP           : OPCODE_TYPE := "01011"; -- x"0B"
207
  constant ALU_BTT           : OPCODE_TYPE := "01100"; -- x"0C"
208
  constant ALU_CLP           : OPCODE_TYPE := "01101"; -- x"0D"
209
  constant ALU_T0X           : OPCODE_TYPE := "01110"; -- x"0E"
210
  constant ALU_CMP           : OPCODE_TYPE := "01111"; -- x"0F"
211
  constant ALU_POP           : OPCODE_TYPE := "10001"; -- x"11"
212
  constant ALU_MUL           : OPCODE_TYPE := "10110"; -- x"16"
213
  constant ALU_UPP           : OPCODE_TYPE := "11000"; -- x"18"
214
  constant ALU_LDI           : OPCODE_TYPE := "11100"; -- x"1C"
215
 
216
  constant ALU_IDLE          : OPCODE_TYPE := "10000"; -- x"10"
217
  constant ALU_UPP2          : OPCODE_TYPE := "10010"; -- x"12"
218
  constant ALU_RFLG          : OPCODE_TYPE := "10011"; -- x"13"
219
  constant ALU_TSX           : OPCODE_TYPE := "10111"; -- x"17"
220
 
221
  constant FL_ZERO           : integer := 0;
222
  constant FL_CARRY          : integer := 1;
223
  constant FL_NEG            : integer := 2;
224
  constant FL_INT_EN         : integer := 3;
225
  constant FL_GP1            : integer := 4;
226
  constant FL_GP2            : integer := 5;
227
  constant FL_GP3            : integer := 6;
228
  constant FL_GP4            : integer := 7;
229
 
230
  type ALU_CTRL_TYPE is record
231
    Oper                     : OPCODE_TYPE;
232
    Reg                      : SUBOP_TYPE;
233
    Data                     : DATA_TYPE;
234
  end record;
235
 
236
  constant ACCUM             : SUBOP_TYPE := "000";
237
  constant INT_FLAG          : SUBOP_TYPE := "011";
238
 
239
  type REGFILE_TYPE is array (0 to 7) of DATA_TYPE;
240
 
241
  subtype FLAG_TYPE is DATA_TYPE;
242
 
243
end Open8_pkg;
244
 
245
package body Open8_pkg is
246
end package body;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.