OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [Open8_pkg.vhd] - Blame information for rev 187

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 185 jshamlet
-- Copyright (c)2006,2011,2012,2013,2015,2020 Jeremy Seth Henry
2 181 jshamlet
-- All rights reserved.
3
--
4
-- Redistribution and use in source and binary forms, with or without
5
-- modification, are permitted provided that the following conditions are met:
6
--     * Redistributions of source code must retain the above copyright
7
--       notice, this list of conditions and the following disclaimer.
8
--     * Redistributions in binary form must reproduce the above copyright
9
--       notice, this list of conditions and the following disclaimer in the
10
--       documentation and/or other materials provided with the distribution,
11
--       where applicable (as part of a user interface, debugging port, etc.)
12
--
13
-- THIS SOFTWARE IS PROVIDED BY JEREMY SETH HENRY ``AS IS'' AND ANY
14
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
15
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
16
-- DISCLAIMED. IN NO EVENT SHALL JEREMY SETH HENRY BE LIABLE FOR ANY
17
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
18
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
19
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
20
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
21
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
22
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
23
 
24
-- VHDL Units :  Open8_pkg
25
-- Description:  Contains constant definitions for the Open8 processor
26
-- Revision History
27
-- Author          Date     Change
28
------------------ -------- ---------------------------------------------------
29
-- Seth Henry      07/22/06 Design Start
30
-- Seth Henry      02/03/12 Updated generics to match current model
31
-- Seth Henry      10/29/15 Migrated type/constant definitions to this file
32 185 jshamlet
-- Seth Henry      03/09/20 Created new ALU/SP opcodes for handling new RSP
33
-- Seth Henry      03/12/20 Rationalized the naming of the CPU flags to match
34
--                           the assembler names. Also removed superfluous
35
--                           signals in the ALU and PC records.
36 181 jshamlet
 
37
library ieee;
38
use ieee.std_logic_1164.all;
39 185 jshamlet
use ieee.std_logic_arith.all;
40 181 jshamlet
 
41
package Open8_pkg is
42
 
43
-------------------------------------------------------------------------------
44
-- External constants and type declarations
45
--
46
-- These subtypes can be used with external peripherals to simplify
47
--  connection to the core.
48
-------------------------------------------------------------------------------
49
 
50
  -- These must never be changed, as the core requires them to be these static
51
  --  values for proper operation. These are ONLY defined here to allow user
52 185 jshamlet
  --  code to dynamically configure itself to match the Open8 core.
53 181 jshamlet
 
54
  constant OPEN8_ADDR_WIDTH  : integer := 16; -- DON'T EVEN CONTEMPLATE
55
  constant OPEN8_DATA_WIDTH  : integer := 8;  -- CHANGING THESE!
56
 
57
  subtype ADDRESS_TYPE is std_logic_vector(OPEN8_ADDR_WIDTH - 1 downto 0);
58
  subtype DATA_TYPE    is std_logic_vector(OPEN8_DATA_WIDTH - 1 downto 0);
59
  -- Note: INTERRUPT_BUNDLE must be exactly the same width as DATA_TYPE
60
  subtype INTERRUPT_BUNDLE is DATA_TYPE;
61
 
62
  -- Component declaration
63 185 jshamlet
  --  (assumes a 1K RAM at 0x0000 and ROM at the top of the memory map)
64 183 jshamlet
  component o8_cpu is
65 181 jshamlet
  generic(
66 185 jshamlet
    Program_Start_Addr       : ADDRESS_TYPE := x"8000";
67
    ISR_Start_Addr           : ADDRESS_TYPE := x"FFF0";
68
    Stack_Start_Addr         : ADDRESS_TYPE := x"03FF";
69
    Allow_Stack_Address_Move : boolean      := false;
70
    Stack_Xfer_Flag          : integer      := 4;
71
    Enable_Auto_Increment    : boolean      := false;
72
    BRK_Implements_WAI       : boolean      := false;
73
    Enable_NMI               : boolean      := true;
74
    Default_Interrupt_Mask   : DATA_TYPE    := x"FF";
75
    Reset_Level              : std_logic    := '0' );
76 181 jshamlet
  port(
77
    Clock                    : in  std_logic;
78
    Reset                    : in  std_logic;
79 187 jshamlet
    CPU_Halt                 : in  std_logic;
80 181 jshamlet
    Interrupts               : in  INTERRUPT_BUNDLE;
81
    Address                  : out ADDRESS_TYPE;
82
    Rd_Data                  : in  DATA_TYPE;
83
    Rd_Enable                : out std_logic;
84
    Wr_Data                  : out DATA_TYPE;
85
    Wr_Enable                : out std_logic );
86
  end component;
87
 
88
-------------------------------------------------------------------------------
89
-- Internal constants and type declarations.
90
--
91
-- These are only used in the actual model, and aren't generally useful for
92
--  external application.
93
-------------------------------------------------------------------------------
94
 
95
  subtype OPCODE_TYPE  is std_logic_vector(4 downto 0);
96
  subtype SUBOP_TYPE   is std_logic_vector(2 downto 0);
97
 
98
  -- All opcodes should be identical to the opcode used by the assembler
99
  -- In this case, they match the original V8/ARC uRISC ISA
100
  constant OP_INC            : OPCODE_TYPE := "00000";
101
  constant OP_ADC            : OPCODE_TYPE := "00001";
102
  constant OP_TX0            : OPCODE_TYPE := "00010";
103
  constant OP_OR             : OPCODE_TYPE := "00011";
104
  constant OP_AND            : OPCODE_TYPE := "00100";
105
  constant OP_XOR            : OPCODE_TYPE := "00101";
106
  constant OP_ROL            : OPCODE_TYPE := "00110";
107
  constant OP_ROR            : OPCODE_TYPE := "00111";
108
  constant OP_DEC            : OPCODE_TYPE := "01000";
109
  constant OP_SBC            : OPCODE_TYPE := "01001";
110
  constant OP_ADD            : OPCODE_TYPE := "01010";
111
  constant OP_STP            : OPCODE_TYPE := "01011";
112
  constant OP_BTT            : OPCODE_TYPE := "01100";
113
  constant OP_CLP            : OPCODE_TYPE := "01101";
114
  constant OP_T0X            : OPCODE_TYPE := "01110";
115
  constant OP_CMP            : OPCODE_TYPE := "01111";
116
  constant OP_PSH            : OPCODE_TYPE := "10000";
117
  constant OP_POP            : OPCODE_TYPE := "10001";
118
  constant OP_BR0            : OPCODE_TYPE := "10010";
119
  constant OP_BR1            : OPCODE_TYPE := "10011";
120
  constant OP_DBNZ           : OPCODE_TYPE := "10100"; -- USR
121
  constant OP_INT            : OPCODE_TYPE := "10101";
122
  constant OP_MUL            : OPCODE_TYPE := "10110"; -- USR2
123
  constant OP_STK            : OPCODE_TYPE := "10111";
124
  constant OP_UPP            : OPCODE_TYPE := "11000";
125
  constant OP_STA            : OPCODE_TYPE := "11001";
126
  constant OP_STX            : OPCODE_TYPE := "11010";
127
  constant OP_STO            : OPCODE_TYPE := "11011";
128
  constant OP_LDI            : OPCODE_TYPE := "11100";
129
  constant OP_LDA            : OPCODE_TYPE := "11101";
130
  constant OP_LDX            : OPCODE_TYPE := "11110";
131
  constant OP_LDO            : OPCODE_TYPE := "11111";
132
 
133
  -- OP_STK uses the lower 3 bits to further refine the instruction by
134 186 jshamlet
  --  repurposing the source register field. These "sub opcodes" take
135
  --  the place of the register select for the OP_STK opcode
136 181 jshamlet
  constant SOP_RSP           : SUBOP_TYPE := "000";
137
  constant SOP_RTS           : SUBOP_TYPE := "001";
138
  constant SOP_RTI           : SUBOP_TYPE := "010";
139
  constant SOP_BRK           : SUBOP_TYPE := "011";
140
  constant SOP_JMP           : SUBOP_TYPE := "100";
141
  constant SOP_SMSK          : SUBOP_TYPE := "101";
142
  constant SOP_GMSK          : SUBOP_TYPE := "110";
143
  constant SOP_JSR           : SUBOP_TYPE := "111";
144
 
145
  type CPU_STATES is (
146
      -- Instruction fetch & Decode
147 187 jshamlet
    IPF_C0, IPF_C1, IPF_C2, IDC_C0,
148 181 jshamlet
    -- Branching
149
    BRN_C1, DBNZ_C1, JMP_C1, JMP_C2,
150
    -- Loads
151 185 jshamlet
    LDA_C1, LDA_C2, LDA_C3, LDA_C4, LDI_C1,
152
    LDO_C1, LDX_C1, LDX_C2, LDX_C3, LDX_C4,
153 181 jshamlet
    -- Stores
154
    STA_C1, STA_C2, STA_C3, STO_C1, STO_C2, STX_C1, STX_C2,
155
    -- 2-cycle math
156
    MUL_C1, UPP_C1,
157
    -- Stack
158
    PSH_C1, POP_C1, POP_C2, POP_C3, POP_C4,
159
    -- Subroutines & Interrupts
160 187 jshamlet
    WAI_Cx, WAH_Cx, BRK_C1,
161 186 jshamlet
    ISR_C1, ISR_C2, ISR_C3, JSR_C1, JSR_C2,
162 187 jshamlet
    RTS_C1, RTS_C2, RTS_C3, RTS_C4, RTS_C5, RTI_C6
163
     );
164 181 jshamlet
 
165
  type CACHE_MODES is (CACHE_IDLE, CACHE_INSTR, CACHE_OPER1, CACHE_OPER2,
166
                       CACHE_PREFETCH );
167
 
168 185 jshamlet
  type PC_MODES is ( PC_INCR, PC_LOAD );
169 181 jshamlet
 
170
  type PC_CTRL_TYPE is record
171
    Oper                     : PC_MODES;
172
    Offset                   : DATA_TYPE;
173
  end record;
174
 
175 185 jshamlet
  -- These are fixed constant offsets to the program counter logic, which is
176
  --  always either incrementing or loading.
177
  constant PC_NEXT           : DATA_TYPE := x"03";
178
  constant PC_IDLE           : DATA_TYPE := x"02";
179
  constant PC_REV1           : DATA_TYPE := x"01";
180
  constant PC_REV2           : DATA_TYPE := x"00";
181
  constant PC_REV3           : DATA_TYPE := x"FF";
182
 
183 181 jshamlet
  type SP_MODES is ( SP_IDLE, SP_CLR, SP_SET, SP_POP, SP_PUSH );
184
 
185
  type SP_CTRL_TYPE is record
186
    Oper                     : SP_MODES;
187
  end record;
188
 
189
  type DP_MODES is ( DATA_BUS_IDLE, DATA_RD_MEM,
190
                     DATA_WR_REG, DATA_WR_FLAG, DATA_WR_PC );
191
 
192
  type DATA_CTRL_TYPE is record
193
    Src                      : DP_MODES;
194
    Reg                      : SUBOP_TYPE;
195
  end record;
196
 
197 182 jshamlet
  constant PC_LSB            : SUBOP_TYPE := "000";
198
  constant PC_MSB            : SUBOP_TYPE := "001";
199
 
200 181 jshamlet
  type INT_CTRL_TYPE is record
201
    Mask_Set                 : std_logic;
202
    Soft_Ints                : INTERRUPT_BUNDLE;
203
    Incr_ISR                 : std_logic;
204
  end record;
205
 
206 185 jshamlet
  -- Most of the ALU instructions are the same as their Opcode equivalents,
207
  --  with exceptions for IDLE, UPP2, RFLG, RSP, and GMSK, which perform
208
  --  internal operations not otherwise exposed by the instruction set.
209 181 jshamlet
  constant ALU_INC           : OPCODE_TYPE := "00000"; -- x"00"
210
  constant ALU_ADC           : OPCODE_TYPE := "00001"; -- x"01"
211
  constant ALU_TX0           : OPCODE_TYPE := "00010"; -- x"02"
212
  constant ALU_OR            : OPCODE_TYPE := "00011"; -- x"03"
213
  constant ALU_AND           : OPCODE_TYPE := "00100"; -- x"04"
214
  constant ALU_XOR           : OPCODE_TYPE := "00101"; -- x"05"
215
  constant ALU_ROL           : OPCODE_TYPE := "00110"; -- x"06"
216
  constant ALU_ROR           : OPCODE_TYPE := "00111"; -- x"07"
217
  constant ALU_DEC           : OPCODE_TYPE := "01000"; -- x"08"
218
  constant ALU_SBC           : OPCODE_TYPE := "01001"; -- x"09"
219
  constant ALU_ADD           : OPCODE_TYPE := "01010"; -- x"0A"
220
  constant ALU_STP           : OPCODE_TYPE := "01011"; -- x"0B"
221
  constant ALU_BTT           : OPCODE_TYPE := "01100"; -- x"0C"
222
  constant ALU_CLP           : OPCODE_TYPE := "01101"; -- x"0D"
223
  constant ALU_T0X           : OPCODE_TYPE := "01110"; -- x"0E"
224
  constant ALU_CMP           : OPCODE_TYPE := "01111"; -- x"0F"
225
  constant ALU_POP           : OPCODE_TYPE := "10001"; -- x"11"
226
  constant ALU_MUL           : OPCODE_TYPE := "10110"; -- x"16"
227
  constant ALU_UPP           : OPCODE_TYPE := "11000"; -- x"18"
228
  constant ALU_LDI           : OPCODE_TYPE := "11100"; -- x"1C"
229
 
230
  constant ALU_IDLE          : OPCODE_TYPE := "10000"; -- x"10"
231
  constant ALU_UPP2          : OPCODE_TYPE := "10010"; -- x"12"
232
  constant ALU_RFLG          : OPCODE_TYPE := "10011"; -- x"13"
233 185 jshamlet
  constant ALU_RSP           : OPCODE_TYPE := "10111"; -- x"17"
234
  constant ALU_GMSK          : OPCODE_TYPE := "11111"; -- x"1F"
235 181 jshamlet
 
236 185 jshamlet
  -- These should match the assembler's definitions for the flags
237
  constant PSR_Z             : integer := 0;
238
  constant PSR_C             : integer := 1;
239
  constant PSR_N             : integer := 2;
240
  constant PSR_I             : integer := 3;
241
  constant PSR_GP4           : integer := 4;
242 186 jshamlet
  constant PSR_GP5           : integer := 5;
243
  constant PSR_GP6           : integer := 6;
244
  constant PSR_GP7           : integer := 7;
245
 
246
  type ALU_CTRL_TYPE is record
247
    Oper                     : OPCODE_TYPE;
248
    Reg                      : SUBOP_TYPE;
249
  end record;
250
 
251
  constant ACCUM             : SUBOP_TYPE := "000";
252
 
253
  type REGFILE_TYPE is array (0 to 7) of DATA_TYPE;
254
 
255
  subtype FLAG_TYPE is DATA_TYPE;
256
 
257
end Open8_pkg;
258
 
259
package body Open8_pkg is
260
end package body;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.