OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [Open8_pkg.vhd] - Blame information for rev 210

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 185 jshamlet
-- Copyright (c)2006,2011,2012,2013,2015,2020 Jeremy Seth Henry
2 181 jshamlet
-- All rights reserved.
3
--
4
-- Redistribution and use in source and binary forms, with or without
5
-- modification, are permitted provided that the following conditions are met:
6
--     * Redistributions of source code must retain the above copyright
7
--       notice, this list of conditions and the following disclaimer.
8
--     * Redistributions in binary form must reproduce the above copyright
9
--       notice, this list of conditions and the following disclaimer in the
10
--       documentation and/or other materials provided with the distribution,
11
--       where applicable (as part of a user interface, debugging port, etc.)
12
--
13
-- THIS SOFTWARE IS PROVIDED BY JEREMY SETH HENRY ``AS IS'' AND ANY
14
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
15
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
16
-- DISCLAIMED. IN NO EVENT SHALL JEREMY SETH HENRY BE LIABLE FOR ANY
17
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
18
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
19
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
20
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
21
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
22
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
23
 
24
-- VHDL Units :  Open8_pkg
25
-- Description:  Contains constant definitions for the Open8 processor
26
-- Revision History
27
-- Author          Date     Change
28
------------------ -------- ---------------------------------------------------
29
-- Seth Henry      07/22/06 Design Start
30
-- Seth Henry      02/03/12 Updated generics to match current model
31
-- Seth Henry      10/29/15 Migrated type/constant definitions to this file
32 185 jshamlet
-- Seth Henry      03/09/20 Created new ALU/SP opcodes for handling new RSP
33
-- Seth Henry      03/12/20 Rationalized the naming of the CPU flags to match
34
--                           the assembler names. Also removed superfluous
35
--                           signals in the ALU and PC records.
36 188 jshamlet
-- Seth Henry      03/17/20 Added new subtype and constants for external
37
--                           GP flags.
38 189 jshamlet
-- Seth Henry      03/18/20 Added the ceil_log2 function, since it is used in
39
--                           memory sizing calculations.
40 210 jshamlet
-- Seth Henry      04/09/20 Added the I bit to the exported flags for use in
41
--                           memory protection schemes.
42 181 jshamlet
 
43
library ieee;
44
use ieee.std_logic_1164.all;
45 185 jshamlet
use ieee.std_logic_arith.all;
46 181 jshamlet
 
47
package Open8_pkg is
48
 
49
-------------------------------------------------------------------------------
50
-- External constants and type declarations
51
--
52
-- These subtypes can be used with external peripherals to simplify
53
--  connection to the core.
54
-------------------------------------------------------------------------------
55
 
56
  -- These must never be changed, as the core requires them to be these static
57
  --  values for proper operation. These are ONLY defined here to allow user
58 185 jshamlet
  --  code to dynamically configure itself to match the Open8 core.
59 181 jshamlet
 
60
  constant OPEN8_ADDR_WIDTH  : integer := 16; -- DON'T EVEN CONTEMPLATE
61
  constant OPEN8_DATA_WIDTH  : integer := 8;  -- CHANGING THESE!
62
 
63
  subtype ADDRESS_TYPE is std_logic_vector(OPEN8_ADDR_WIDTH - 1 downto 0);
64
  subtype DATA_TYPE    is std_logic_vector(OPEN8_DATA_WIDTH - 1 downto 0);
65
  -- Note: INTERRUPT_BUNDLE must be exactly the same width as DATA_TYPE
66
  subtype INTERRUPT_BUNDLE is DATA_TYPE;
67
 
68 210 jshamlet
  subtype EXT_GP_FLAGS is std_logic_vector(4 downto 0);
69 188 jshamlet
 
70 210 jshamlet
  constant EXT_ISR           : integer := 0;
71
  constant EXT_GP4           : integer := 1;
72
  constant EXT_GP5           : integer := 2;
73
  constant EXT_GP6           : integer := 3;
74
  constant EXT_GP7           : integer := 4;
75 188 jshamlet
 
76 191 jshamlet
  constant OPEN8_NULLBUS     : DATA_TYPE := x"00";
77
 
78 181 jshamlet
  -- Component declaration
79 185 jshamlet
  --  (assumes a 1K RAM at 0x0000 and ROM at the top of the memory map)
80 183 jshamlet
  component o8_cpu is
81 181 jshamlet
  generic(
82 185 jshamlet
    Program_Start_Addr       : ADDRESS_TYPE := x"8000";
83
    ISR_Start_Addr           : ADDRESS_TYPE := x"FFF0";
84
    Stack_Start_Addr         : ADDRESS_TYPE := x"03FF";
85
    Allow_Stack_Address_Move : boolean      := false;
86
    Stack_Xfer_Flag          : integer      := 4;
87
    Enable_Auto_Increment    : boolean      := false;
88
    BRK_Implements_WAI       : boolean      := false;
89
    Enable_NMI               : boolean      := true;
90 188 jshamlet
    RTI_Ignores_GP_Flags     : boolean      := false;
91 185 jshamlet
    Default_Interrupt_Mask   : DATA_TYPE    := x"FF";
92
    Reset_Level              : std_logic    := '0' );
93 181 jshamlet
  port(
94
    Clock                    : in  std_logic;
95
    Reset                    : in  std_logic;
96 187 jshamlet
    CPU_Halt                 : in  std_logic;
97 181 jshamlet
    Interrupts               : in  INTERRUPT_BUNDLE;
98 188 jshamlet
    GP_Flags                 : out EXT_GP_FLAGS;
99 181 jshamlet
    Address                  : out ADDRESS_TYPE;
100
    Rd_Data                  : in  DATA_TYPE;
101
    Rd_Enable                : out std_logic;
102
    Wr_Data                  : out DATA_TYPE;
103
    Wr_Enable                : out std_logic );
104
  end component;
105
 
106 189 jshamlet
  -- This function is used to calculate RAM parameters, but is generally
107
  --  useful for making things more generic.
108
  function ceil_log2 (x : in natural) return natural;
109
 
110 181 jshamlet
-------------------------------------------------------------------------------
111
-- Internal constants and type declarations.
112
--
113
-- These are only used in the actual model, and aren't generally useful for
114
--  external application.
115
-------------------------------------------------------------------------------
116
 
117
  subtype OPCODE_TYPE  is std_logic_vector(4 downto 0);
118
  subtype SUBOP_TYPE   is std_logic_vector(2 downto 0);
119
 
120
  -- All opcodes should be identical to the opcode used by the assembler
121
  -- In this case, they match the original V8/ARC uRISC ISA
122
  constant OP_INC            : OPCODE_TYPE := "00000";
123
  constant OP_ADC            : OPCODE_TYPE := "00001";
124
  constant OP_TX0            : OPCODE_TYPE := "00010";
125
  constant OP_OR             : OPCODE_TYPE := "00011";
126
  constant OP_AND            : OPCODE_TYPE := "00100";
127
  constant OP_XOR            : OPCODE_TYPE := "00101";
128
  constant OP_ROL            : OPCODE_TYPE := "00110";
129
  constant OP_ROR            : OPCODE_TYPE := "00111";
130
  constant OP_DEC            : OPCODE_TYPE := "01000";
131
  constant OP_SBC            : OPCODE_TYPE := "01001";
132
  constant OP_ADD            : OPCODE_TYPE := "01010";
133
  constant OP_STP            : OPCODE_TYPE := "01011";
134
  constant OP_BTT            : OPCODE_TYPE := "01100";
135
  constant OP_CLP            : OPCODE_TYPE := "01101";
136
  constant OP_T0X            : OPCODE_TYPE := "01110";
137
  constant OP_CMP            : OPCODE_TYPE := "01111";
138
  constant OP_PSH            : OPCODE_TYPE := "10000";
139
  constant OP_POP            : OPCODE_TYPE := "10001";
140
  constant OP_BR0            : OPCODE_TYPE := "10010";
141
  constant OP_BR1            : OPCODE_TYPE := "10011";
142
  constant OP_DBNZ           : OPCODE_TYPE := "10100"; -- USR
143
  constant OP_INT            : OPCODE_TYPE := "10101";
144
  constant OP_MUL            : OPCODE_TYPE := "10110"; -- USR2
145
  constant OP_STK            : OPCODE_TYPE := "10111";
146
  constant OP_UPP            : OPCODE_TYPE := "11000";
147
  constant OP_STA            : OPCODE_TYPE := "11001";
148
  constant OP_STX            : OPCODE_TYPE := "11010";
149
  constant OP_STO            : OPCODE_TYPE := "11011";
150
  constant OP_LDI            : OPCODE_TYPE := "11100";
151
  constant OP_LDA            : OPCODE_TYPE := "11101";
152
  constant OP_LDX            : OPCODE_TYPE := "11110";
153
  constant OP_LDO            : OPCODE_TYPE := "11111";
154
 
155
  -- OP_STK uses the lower 3 bits to further refine the instruction by
156 186 jshamlet
  --  repurposing the source register field. These "sub opcodes" take
157
  --  the place of the register select for the OP_STK opcode
158 181 jshamlet
  constant SOP_RSP           : SUBOP_TYPE := "000";
159
  constant SOP_RTS           : SUBOP_TYPE := "001";
160
  constant SOP_RTI           : SUBOP_TYPE := "010";
161
  constant SOP_BRK           : SUBOP_TYPE := "011";
162
  constant SOP_JMP           : SUBOP_TYPE := "100";
163
  constant SOP_SMSK          : SUBOP_TYPE := "101";
164
  constant SOP_GMSK          : SUBOP_TYPE := "110";
165
  constant SOP_JSR           : SUBOP_TYPE := "111";
166
 
167
  type CPU_STATES is (
168
      -- Instruction fetch & Decode
169 187 jshamlet
    IPF_C0, IPF_C1, IPF_C2, IDC_C0,
170 181 jshamlet
    -- Branching
171
    BRN_C1, DBNZ_C1, JMP_C1, JMP_C2,
172
    -- Loads
173 185 jshamlet
    LDA_C1, LDA_C2, LDA_C3, LDA_C4, LDI_C1,
174
    LDO_C1, LDX_C1, LDX_C2, LDX_C3, LDX_C4,
175 181 jshamlet
    -- Stores
176
    STA_C1, STA_C2, STA_C3, STO_C1, STO_C2, STX_C1, STX_C2,
177
    -- 2-cycle math
178
    MUL_C1, UPP_C1,
179
    -- Stack
180
    PSH_C1, POP_C1, POP_C2, POP_C3, POP_C4,
181
    -- Subroutines & Interrupts
182 187 jshamlet
    WAI_Cx, WAH_Cx, BRK_C1,
183 186 jshamlet
    ISR_C1, ISR_C2, ISR_C3, JSR_C1, JSR_C2,
184 187 jshamlet
    RTS_C1, RTS_C2, RTS_C3, RTS_C4, RTS_C5, RTI_C6
185
     );
186 181 jshamlet
 
187
  type CACHE_MODES is (CACHE_IDLE, CACHE_INSTR, CACHE_OPER1, CACHE_OPER2,
188
                       CACHE_PREFETCH );
189
 
190 185 jshamlet
  type PC_MODES is ( PC_INCR, PC_LOAD );
191 181 jshamlet
 
192
  type PC_CTRL_TYPE is record
193
    Oper                     : PC_MODES;
194
    Offset                   : DATA_TYPE;
195
  end record;
196
 
197 185 jshamlet
  -- These are fixed constant offsets to the program counter logic, which is
198
  --  always either incrementing or loading.
199
  constant PC_NEXT           : DATA_TYPE := x"03";
200
  constant PC_IDLE           : DATA_TYPE := x"02";
201
  constant PC_REV1           : DATA_TYPE := x"01";
202
  constant PC_REV2           : DATA_TYPE := x"00";
203
  constant PC_REV3           : DATA_TYPE := x"FF";
204
 
205 181 jshamlet
  type SP_MODES is ( SP_IDLE, SP_CLR, SP_SET, SP_POP, SP_PUSH );
206
 
207
  type SP_CTRL_TYPE is record
208
    Oper                     : SP_MODES;
209
  end record;
210
 
211
  type DP_MODES is ( DATA_BUS_IDLE, DATA_RD_MEM,
212
                     DATA_WR_REG, DATA_WR_FLAG, DATA_WR_PC );
213
 
214
  type DATA_CTRL_TYPE is record
215
    Src                      : DP_MODES;
216
    Reg                      : SUBOP_TYPE;
217
  end record;
218
 
219 182 jshamlet
  constant PC_LSB            : SUBOP_TYPE := "000";
220
  constant PC_MSB            : SUBOP_TYPE := "001";
221
 
222 181 jshamlet
  type INT_CTRL_TYPE is record
223
    Mask_Set                 : std_logic;
224
    Soft_Ints                : INTERRUPT_BUNDLE;
225
    Incr_ISR                 : std_logic;
226
  end record;
227
 
228 185 jshamlet
  -- Most of the ALU instructions are the same as their Opcode equivalents,
229
  --  with exceptions for IDLE, UPP2, RFLG, RSP, and GMSK, which perform
230
  --  internal operations not otherwise exposed by the instruction set.
231 181 jshamlet
  constant ALU_INC           : OPCODE_TYPE := "00000"; -- x"00"
232
  constant ALU_ADC           : OPCODE_TYPE := "00001"; -- x"01"
233
  constant ALU_TX0           : OPCODE_TYPE := "00010"; -- x"02"
234
  constant ALU_OR            : OPCODE_TYPE := "00011"; -- x"03"
235
  constant ALU_AND           : OPCODE_TYPE := "00100"; -- x"04"
236
  constant ALU_XOR           : OPCODE_TYPE := "00101"; -- x"05"
237
  constant ALU_ROL           : OPCODE_TYPE := "00110"; -- x"06"
238
  constant ALU_ROR           : OPCODE_TYPE := "00111"; -- x"07"
239
  constant ALU_DEC           : OPCODE_TYPE := "01000"; -- x"08"
240
  constant ALU_SBC           : OPCODE_TYPE := "01001"; -- x"09"
241
  constant ALU_ADD           : OPCODE_TYPE := "01010"; -- x"0A"
242
  constant ALU_STP           : OPCODE_TYPE := "01011"; -- x"0B"
243
  constant ALU_BTT           : OPCODE_TYPE := "01100"; -- x"0C"
244
  constant ALU_CLP           : OPCODE_TYPE := "01101"; -- x"0D"
245
  constant ALU_T0X           : OPCODE_TYPE := "01110"; -- x"0E"
246
  constant ALU_CMP           : OPCODE_TYPE := "01111"; -- x"0F"
247
  constant ALU_POP           : OPCODE_TYPE := "10001"; -- x"11"
248
  constant ALU_MUL           : OPCODE_TYPE := "10110"; -- x"16"
249
  constant ALU_UPP           : OPCODE_TYPE := "11000"; -- x"18"
250
  constant ALU_LDI           : OPCODE_TYPE := "11100"; -- x"1C"
251
 
252
  constant ALU_IDLE          : OPCODE_TYPE := "10000"; -- x"10"
253
  constant ALU_UPP2          : OPCODE_TYPE := "10010"; -- x"12"
254
  constant ALU_RFLG          : OPCODE_TYPE := "10011"; -- x"13"
255 185 jshamlet
  constant ALU_RSP           : OPCODE_TYPE := "10111"; -- x"17"
256
  constant ALU_GMSK          : OPCODE_TYPE := "11111"; -- x"1F"
257 181 jshamlet
 
258 185 jshamlet
  -- These should match the assembler's definitions for the flags
259
  constant PSR_Z             : integer := 0;
260
  constant PSR_C             : integer := 1;
261
  constant PSR_N             : integer := 2;
262
  constant PSR_I             : integer := 3;
263
  constant PSR_GP4           : integer := 4;
264 186 jshamlet
  constant PSR_GP5           : integer := 5;
265
  constant PSR_GP6           : integer := 6;
266
  constant PSR_GP7           : integer := 7;
267
 
268
  type ALU_CTRL_TYPE is record
269
    Oper                     : OPCODE_TYPE;
270
    Reg                      : SUBOP_TYPE;
271
  end record;
272
 
273
  constant ACCUM             : SUBOP_TYPE := "000";
274
 
275
  type REGFILE_TYPE is array (0 to 7) of DATA_TYPE;
276
 
277
  subtype FLAG_TYPE is DATA_TYPE;
278
 
279
end Open8_pkg;
280
 
281
package body Open8_pkg is
282 189 jshamlet
 
283
  -- The ceil_log2 function returns the minimum register width required to
284
  --  hold the supplied integer.
285
  function ceil_log2 (x : in natural) return natural is
286
    variable retval          : natural;
287
  begin
288
    retval                   := 1;
289
    while ((2**retval) - 1) < x loop
290
      retval                 := retval + 1;
291
    end loop;
292
    return retval;
293
  end ceil_log2;
294
 
295 186 jshamlet
end package body;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.