OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [button_db.vhd] - Blame information for rev 196

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 191 jshamlet
-- Copyright (c)2020 Jeremy Seth Henry
2
-- All rights reserved.
3
--
4
-- Redistribution and use in source and binary forms, with or without
5
-- modification, are permitted provided that the following conditions are met:
6
--     * Redistributions of source code must retain the above copyright
7
--       notice, this list of conditions and the following disclaimer.
8
--     * Redistributions in binary form must reproduce the above copyright
9
--       notice, this list of conditions and the following disclaimer in the
10
--       documentation and/or other materials provided with the distribution,
11
--       where applicable (as part of a user interface, debugging port, etc.)
12
--
13
-- THIS SOFTWARE IS PROVIDED BY JEREMY SETH HENRY ``AS IS'' AND ANY
14
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
15
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
16
-- DISCLAIMED. IN NO EVENT SHALL JEREMY SETH HENRY BE LIABLE FOR ANY
17
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
18
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
19
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
20
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
21
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF
22
-- THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
23
--
24
-- VHDL Units :  button_db
25
-- Description:  Debounces a single button/switch and provides a change of
26
--                state signal as well as registered level.
27
 
28
library ieee;
29
  use ieee.std_logic_1164.all;
30
  use ieee.std_logic_unsigned.all;
31
  use ieee.std_logic_misc.all;
32
 
33
entity button_db is
34
generic(
35
  Button_Level          : std_logic;
36
  Reset_Level           : std_logic
37
);
38
port(
39
  Clock                 : in  std_logic;
40
  Reset                 : in  std_logic;
41
  mSec_Tick             : in  std_logic;
42
  --
43
  Button_In             : in  std_logic;
44
  --
45
  Button_Pressed        : out std_logic;
46
  Button_CoS            : out std_logic
47
);
48
end entity;
49
 
50
architecture behave of button_db is
51
 
52
  signal Button_SR      : std_logic_vector(2 downto 0);
53
  alias  Button_In_q    is Button_SR(2);
54
 
55
  signal Button_Dn_Tmr  : std_logic_vector(5 downto 0);
56
  signal Button_Dn      : std_logic;
57
 
58
  signal Button_Up_Tmr  : std_logic_vector(5 downto 0);
59
  signal Button_Up      : std_logic;
60
 
61
  signal Button_State   : std_logic;
62
  signal Button_State_q : std_logic;
63
 
64
begin
65
 
66
  Button_Pressed         <= Button_State_q;
67
 
68
  Button_trap: process( Clock, Reset )
69
  begin
70
    if( Reset = Reset_Level )then
71
      Button_SR          <= (others => '0');
72
 
73
      Button_Dn_Tmr      <= (others => '0');
74
      Button_Dn          <= '0';
75
 
76
      Button_Up_Tmr      <= (others => '0');
77
      Button_Up          <= '0';
78
 
79
      Button_State       <= '0';
80
      Button_State_q     <= '0';
81
 
82
      Button_CoS         <= '0';
83
    elsif( rising_edge(Clock) )then
84
      Button_SR         <= Button_SR(1 downto 0) & Button_In;
85
 
86
      Button_Dn_Tmr     <= (others => '0');
87
      Button_Dn         <= '0';
88
      if( Button_In_q = Button_Level )then
89
        Button_Dn_Tmr   <= Button_Dn_Tmr + mSec_Tick;
90
        if( and_reduce(Button_Dn_Tmr) = '1' )then
91
          Button_Dn_Tmr <= Button_Dn_Tmr;
92
          Button_Dn     <= '1';
93
        end if;
94
      end if;
95
 
96
      Button_Up_Tmr     <= (others => '0');
97
      Button_Up         <= '0';
98
      if( Button_In_q = not Button_Level )then
99
        Button_Up_Tmr   <= Button_Up_Tmr + mSec_Tick;
100
        if( and_reduce(Button_Up_Tmr) = '1' )then
101
          Button_Up_Tmr <= Button_Up_Tmr;
102
          Button_Up     <= '1';
103
        end if;
104
      end if;
105
 
106
      if( Button_Dn = '1' )then
107
        Button_State    <= '1';
108
      elsif( Button_Up  = '1' )then
109
        Button_State    <= '0';
110
      end if;
111
 
112
      Button_State_q    <= Button_State;
113
      Button_CoS        <= Button_State xor Button_State_q;
114
 
115
    end if;
116
  end process;
117
 
118
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.