OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_cpu.vhd] - Blame information for rev 167

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 167 jshamlet
-- Copyright (c)2006,2013 Jeremy Seth Henry
2 151 jshamlet
-- All rights reserved.
3
--
4
-- Redistribution and use in source and binary forms, with or without
5
-- modification, are permitted provided that the following conditions are met:
6
--     * Redistributions of source code must retain the above copyright
7
--       notice, this list of conditions and the following disclaimer.
8
--     * Redistributions in binary form must reproduce the above copyright
9
--       notice, this list of conditions and the following disclaimer in the
10
--       documentation and/or other materials provided with the distribution,
11
--       where applicable (as part of a user interface, debugging port, etc.)
12
--
13
-- THIS SOFTWARE IS PROVIDED BY JEREMY SETH HENRY ``AS IS'' AND ANY
14
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
15
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
16
-- DISCLAIMED. IN NO EVENT SHALL JEREMY SETH HENRY BE LIABLE FOR ANY
17
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
18
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
19
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
20
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
21
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
22
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
23 167 jshamlet
--
24 151 jshamlet
-- VHDL Units :  Open8_CPU
25 167 jshamlet
-- Description:  VHDL model of a RISC 8-bit processor core loosely based on the
26
--            :   V8/ARC uRISC instruction set. Requires Open8_pkg.vhd
27
--            :
28 151 jshamlet
-- Notes      :  Generic definitions
29 167 jshamlet
--            :
30
--            :  Program_Start_Addr - Determines the initial value of the
31
--            :   program counter.
32
--            :
33
--            :  ISR_Start_Addr - determines the location of the interrupt
34
--            :   service vector table. There are 8 service vectors, or 16
35
--            :   bytes, which must be allocated to either ROM or RAM.
36
--            :
37 151 jshamlet
--            :  Stack_Start_Address - determines the initial (reset) value of
38
--            :   the stack pointer. Also used for the RSP instruction if
39
--            :   Allow_Stack_Address_Move is 0.
40
--            :
41
--            :  Allow_Stack_Address_Move - When set to 1, allows the RSP to be
42
--            :   programmed via thet RSP instruction. If enabled, the contents
43
--            :   of R1:R0 are used to initialize the stack pointer.
44
--            :
45 167 jshamlet
--            :  The Enable_Auto_Increment generic can be used to modify the
46
--            :   indexed instructions such that specifying an odd register
47
--            :   will use the next lower register pair, post-incrementing the
48
--            :   value in that pair. IOW, specifying STX R1 will instead
49
--            :   result in STX R0++, or R0 = {R1:R0}; {R1:R0} + 1
50 151 jshamlet
--            :
51 167 jshamlet
--            :  BRK_Implements_WAI modifies the BRK instruction such that it
52
--            :   triggers the wait for interrupt state, but without triggering
53
--            :   a soft interrupt in lieu of its normal behavior, which is to
54
--            :   insert several dead clock cycles - essentially a long NOP
55 151 jshamlet
--            :
56 167 jshamlet
--            :  Enable_NMI overrides the mask bit for interrupt 0, creating a
57
--            :   non-maskable interrupt at the highest priority.
58
--            :
59 151 jshamlet
--            :  Default_Interrupt_Mask - Determines the intial value of the
60
--            :   interrupt mask. To remain true to the original core, which
61
--            :   had no interrupt mask, this should be set to x"FF". Otherwise
62 167 jshamlet
--            :   it can be initialized to any value. Enable_NMI will logically
63
--            :   force the LSB high.
64
--            : 
65
--            :  Reset_Level - Determines whether the processor registers reset
66
--            :   on a high or low level from higher logic.
67 151 jshamlet
--            :
68 167 jshamlet
--            : Architecture notes
69
--            :  This model deviates from the original ISA in a few important
70
--            :   ways.
71 151 jshamlet
--            :
72 167 jshamlet
--            :  First, there is only one set of registers. Interrupt service
73
--            :   routines must explicitely preserve context since the the
74
--            :   hardware doesn't. This was done to decrease size and code
75
--            :   complexity. Older code that assumes this behavior will not
76
--            :   execute correctly on this processor model.
77 151 jshamlet
--            :
78 167 jshamlet
--            :  Second, this model adds an additional pipeline stage between
79
--            :   the instruction decoder and the ALU. Unfortunately, this
80
--            :   means that the instruction stream has to be restarted after
81
--            :   any math instruction is executed, implying that any ALU
82
--            :   instruction now has a latency of 2 instead of 0. The
83
--            :   advantage is that the maximum frequency has gone up
84
--            :   significantly, as the ALU code is vastly more efficient.
85
--            :   As an aside, this now means that all math instructions,
86
--            :   including MUL (see below) and UPP have the same instruction
87
--            :   latency.
88 151 jshamlet
--            :
89 167 jshamlet
--            :  Third, the original ISA, also a soft core, had two reserved
90
--            :   instructions, USR and USR2. These have been implemented as
91
--            :   DBNZ, and MUL respectively.
92 151 jshamlet
--            :
93 167 jshamlet
--            :  DBNZ decrements the specified register and branches if the
94
--            :   result is non-zero. The instruction effectively executes a
95
--            :   DEC Rn instruction prior to branching, so the same flags will
96
--            :   be set.
97
--            :
98
--            :  MUL places the result of R0 * Rn into R1:R0. Instruction
99
--            :   latency is identical to other ALU instructions. Only the Z
100
--            :   flag is set, since there is no defined overflow or "negative
101
--            :   16-bit values"
102
--            :
103
--            :  Fourth, indexed load/store instructions now have an (optional)
104
--            :   ability to post-increment their index registers. If enabled,
105
--            :   using an odd operand for LDO,LDX, STO, STX will cause the
106
--            :   register pair to be incremented after the storage access.
107
--            :
108
--            :  Fifth, the RSP instruction has been (optionally) altered to
109
--            :   allow the stack pointer to be sourced from R1:R0.
110
--            :
111
--            :  Sixth, the BRK instruction can optionally implement a WAI,
112
--            :   which is the same as the INT instruction without the soft
113
--            :   interrupt, as a way to put the processor to "sleep" until the
114
--            :   next interrupt.
115
--            :
116
--            :  Seventh, the original CPU model had 8 non-maskable interrupts
117
--            :   with priority. This model has the same 8 interrupts, but
118
--            :   allows software to mask them (with an additional option to 
119
--            :   override the highest priority interrupt, making it the NMI.)
120
--            :   The interrupt code will retain memory of lower priority
121
--            :   interrupts, and execute them as it can.
122
--            :
123
--            :  Lastly, previous unmapped instructions in the OP_STK opcode
124
--            :   were repurposed to support a new interrupt mask.
125
--            :   SMSK and GMSK transfer the contents of R0 (accumulator)
126
--            :   to/from the interrupt mask register. SMSK is immediate, while
127
--            :   GMSK has the same overhead as a math instruction.
128 151 jshamlet
 
129
library ieee;
130
  use ieee.std_logic_1164.all;
131
  use ieee.std_logic_unsigned.all;
132 167 jshamlet
  use ieee.std_logic_misc.all;
133 151 jshamlet
 
134
library work;
135
use work.Open8_pkg.all;
136
 
137
entity Open8_CPU is
138
  generic(
139 167 jshamlet
    Program_Start_Addr       : ADDRESS_TYPE := x"0090"; -- Initial PC location
140
    ISR_Start_Addr           : ADDRESS_TYPE := x"0080"; -- Bottom of ISR vec's
141 151 jshamlet
    Stack_Start_Addr         : ADDRESS_TYPE := x"007F"; -- Top of Stack
142
    Allow_Stack_Address_Move : boolean      := false;   -- Use Normal v8 RSP
143 167 jshamlet
    Enable_Auto_Increment    : boolean      := false;   -- Modify indexed instr
144 162 jshamlet
    BRK_Implements_WAI       : boolean      := false;   -- BRK -> Wait for Int
145 167 jshamlet
    Enable_NMI               : boolean      := true;    -- force mask for int 0
146 151 jshamlet
    Default_Interrupt_Mask   : DATA_TYPE    := x"FF";   -- Enable all Ints
147
    Reset_Level              : std_logic    := '0' );   -- Active reset level
148
  port(
149
    Clock                    : in  std_logic;
150
    Reset                    : in  std_logic;
151
    Interrupts               : in  INTERRUPT_BUNDLE;
152
    --
153
    Address                  : out ADDRESS_TYPE;
154
    Rd_Data                  : in  DATA_TYPE;
155
    Rd_Enable                : out std_logic;
156
    Wr_Data                  : out DATA_TYPE;
157
    Wr_Enable                : out std_logic );
158
end entity;
159
 
160 156 jshamlet
architecture behave of Open8_CPU is
161
 
162 151 jshamlet
  subtype OPCODE_TYPE  is std_logic_vector(4 downto 0);
163
  subtype SUBOP_TYPE   is std_logic_vector(2 downto 0);
164
 
165 167 jshamlet
  -- All opcodes should be identical to the opcode used by the assembler
166
  -- In this case, they match the original V8/ARC uRISC ISA
167 151 jshamlet
  constant OP_INC            : OPCODE_TYPE := "00000";
168
  constant OP_ADC            : OPCODE_TYPE := "00001";
169
  constant OP_TX0            : OPCODE_TYPE := "00010";
170
  constant OP_OR             : OPCODE_TYPE := "00011";
171
  constant OP_AND            : OPCODE_TYPE := "00100";
172
  constant OP_XOR            : OPCODE_TYPE := "00101";
173
  constant OP_ROL            : OPCODE_TYPE := "00110";
174
  constant OP_ROR            : OPCODE_TYPE := "00111";
175
  constant OP_DEC            : OPCODE_TYPE := "01000";
176
  constant OP_SBC            : OPCODE_TYPE := "01001";
177
  constant OP_ADD            : OPCODE_TYPE := "01010";
178
  constant OP_STP            : OPCODE_TYPE := "01011";
179
  constant OP_BTT            : OPCODE_TYPE := "01100";
180
  constant OP_CLP            : OPCODE_TYPE := "01101";
181
  constant OP_T0X            : OPCODE_TYPE := "01110";
182
  constant OP_CMP            : OPCODE_TYPE := "01111";
183
  constant OP_PSH            : OPCODE_TYPE := "10000";
184
  constant OP_POP            : OPCODE_TYPE := "10001";
185
  constant OP_BR0            : OPCODE_TYPE := "10010";
186
  constant OP_BR1            : OPCODE_TYPE := "10011";
187 167 jshamlet
  constant OP_DBNZ           : OPCODE_TYPE := "10100";
188 151 jshamlet
  constant OP_INT            : OPCODE_TYPE := "10101";
189 167 jshamlet
  constant OP_MUL            : OPCODE_TYPE := "10110";
190 151 jshamlet
  constant OP_STK            : OPCODE_TYPE := "10111";
191
  constant OP_UPP            : OPCODE_TYPE := "11000";
192
  constant OP_STA            : OPCODE_TYPE := "11001";
193
  constant OP_STX            : OPCODE_TYPE := "11010";
194
  constant OP_STO            : OPCODE_TYPE := "11011";
195
  constant OP_LDI            : OPCODE_TYPE := "11100";
196
  constant OP_LDA            : OPCODE_TYPE := "11101";
197
  constant OP_LDX            : OPCODE_TYPE := "11110";
198
  constant OP_LDO            : OPCODE_TYPE := "11111";
199
 
200 167 jshamlet
  -- OP_STK uses the lower 3 bits to further refine the instruction by
201
  --  repurposing the source register field. These "sub opcodes" are
202
  --  take the place of the register select for the OP_STK opcode
203 151 jshamlet
  constant SOP_RSP           : SUBOP_TYPE := "000";
204
  constant SOP_RTS           : SUBOP_TYPE := "001";
205
  constant SOP_RTI           : SUBOP_TYPE := "010";
206
  constant SOP_BRK           : SUBOP_TYPE := "011";
207
  constant SOP_JMP           : SUBOP_TYPE := "100";
208
  constant SOP_SMSK          : SUBOP_TYPE := "101";
209
  constant SOP_GMSK          : SUBOP_TYPE := "110";
210
  constant SOP_JSR           : SUBOP_TYPE := "111";
211
 
212
  type CPU_STATES is (
213
      -- Instruction fetch & Decode
214
    PIPE_FILL_0, PIPE_FILL_1, PIPE_FILL_2, INSTR_DECODE,
215
    -- Branching
216 167 jshamlet
    BRN_C1, DBNZ_C1, DBNZ_C2, JMP_C1, JMP_C2,
217 151 jshamlet
    -- Loads
218 167 jshamlet
    LDA_C1, LDA_C2, LDA_C3, LDA_C4, LDI_C1,
219
    LDO_C1, LDX_C1, LDX_C2, LDX_C3, LDX_C4,
220 151 jshamlet
    -- Stores
221 167 jshamlet
    STA_C1, STA_C2, STO_C1, STO_C2, STX_C1, STX_C2,
222
    -- math
223
    MATH_C1, GMSK_C1, MUL_C1, UPP_C1,
224 151 jshamlet
    -- Stack
225
    PSH_C1, POP_C1, POP_C2, POP_C3, POP_C4,
226
    -- Subroutines & Interrupts
227
    WAIT_FOR_INT, ISR_C1, ISR_C2, ISR_C3, JSR_C1, JSR_C2,
228
    RTS_C1, RTS_C2, RTS_C3, RTS_C4, RTS_C5, RTI_C6,
229
    -- Debugging
230
    BRK_C1 );
231
 
232 167 jshamlet
  -- To simplify the logic, the first 16 of these should exactly match their
233
  --  corresponding Opcodes. This allows the state logic to simply pass the 
234
  --  opcode field to the ALU for most math operations.
235 156 jshamlet
  constant ALU_INC           : OPCODE_TYPE := "00000"; -- x"00"
236 167 jshamlet
  constant ALU_UPP1          : OPCODE_TYPE := "00000"; -- Alias of ALU_INC
237 156 jshamlet
  constant ALU_ADC           : OPCODE_TYPE := "00001"; -- x"01"
238
  constant ALU_TX0           : OPCODE_TYPE := "00010"; -- x"02"
239
  constant ALU_OR            : OPCODE_TYPE := "00011"; -- x"03"
240
  constant ALU_AND           : OPCODE_TYPE := "00100"; -- x"04"
241
  constant ALU_XOR           : OPCODE_TYPE := "00101"; -- x"05"
242
  constant ALU_ROL           : OPCODE_TYPE := "00110"; -- x"06"
243
  constant ALU_ROR           : OPCODE_TYPE := "00111"; -- x"07"
244
  constant ALU_DEC           : OPCODE_TYPE := "01000"; -- x"08"
245
  constant ALU_SBC           : OPCODE_TYPE := "01001"; -- x"09"
246
  constant ALU_ADD           : OPCODE_TYPE := "01010"; -- x"0A"
247
  constant ALU_STP           : OPCODE_TYPE := "01011"; -- x"0B"
248
  constant ALU_BTT           : OPCODE_TYPE := "01100"; -- x"0C"
249
  constant ALU_CLP           : OPCODE_TYPE := "01101"; -- x"0D"
250
  constant ALU_T0X           : OPCODE_TYPE := "01110"; -- x"0E"
251
  constant ALU_CMP           : OPCODE_TYPE := "01111"; -- x"0F"
252 167 jshamlet
  constant ALU_IDLE          : OPCODE_TYPE := "10000"; -- x"10"
253
  constant ALU_UPP2          : OPCODE_TYPE := "10010"; -- x"11"
254
  constant ALU_RFLG          : OPCODE_TYPE := "10011"; -- x"12"
255 156 jshamlet
  constant ALU_MUL           : OPCODE_TYPE := "10110"; -- x"16"
256
  constant ALU_LDI           : OPCODE_TYPE := "11100"; -- x"1C"
257
 
258
  constant FL_ZERO           : integer := 0;
259
  constant FL_CARRY          : integer := 1;
260
  constant FL_NEG            : integer := 2;
261
  constant FL_INT_EN         : integer := 3;
262
  constant FL_GP1            : integer := 4;
263
  constant FL_GP2            : integer := 5;
264
  constant FL_GP3            : integer := 6;
265
  constant FL_GP4            : integer := 7;
266
 
267
  constant ACCUM             : SUBOP_TYPE := "000";
268
  constant INT_FLAG          : SUBOP_TYPE := "011";
269
 
270
  type REGFILE_TYPE is array (0 to 7) of DATA_TYPE;
271
  subtype FLAG_TYPE is DATA_TYPE;
272
 
273 167 jshamlet
  constant INT_VECTOR_0      : ADDRESS_TYPE := ISR_Start_Addr +  0;
274
  constant INT_VECTOR_1      : ADDRESS_TYPE := ISR_Start_Addr +  2;
275
  constant INT_VECTOR_2      : ADDRESS_TYPE := ISR_Start_Addr +  4;
276
  constant INT_VECTOR_3      : ADDRESS_TYPE := ISR_Start_Addr +  6;
277
  constant INT_VECTOR_4      : ADDRESS_TYPE := ISR_Start_Addr +  8;
278
  constant INT_VECTOR_5      : ADDRESS_TYPE := ISR_Start_Addr + 10;
279
  constant INT_VECTOR_6      : ADDRESS_TYPE := ISR_Start_Addr + 12;
280
  constant INT_VECTOR_7      : ADDRESS_TYPE := ISR_Start_Addr + 14;
281 156 jshamlet
 
282 167 jshamlet
  type CPU_CTRL_TYPE is record
283
    State                    : CPU_STATES;
284
    LS_Address               : ADDRESS_TYPE;
285
    Program_Ctr              : ADDRESS_TYPE;
286
    Stack_Ptr                : ADDRESS_TYPE;
287
    Opcode                   : OPCODE_TYPE;
288
    SubOp_p0                 : SUBOP_TYPE;
289
    SubOp_p1                 : SUBOP_TYPE;
290
    Cache_Valid              : std_logic;
291
    Prefetch                 : DATA_TYPE;
292
    Operand1                 : DATA_TYPE;
293
    Operand2                 : DATA_TYPE;
294
    AutoIncr                 : std_logic;
295
    A_Oper                   : OPCODE_TYPE;
296
    A_Reg                    : SUBOP_TYPE;
297
    A_Data                   : DATA_TYPE;
298
    A_NoFlags                : std_logic;
299
    M_Reg                    : SUBOP_TYPE;
300
    M_Prod                   : ADDRESS_TYPE;
301
    Regfile                  : REGFILE_TYPE;
302
    Flags                    : FLAG_TYPE;
303
    Int_Mask                 : DATA_TYPE;
304
    Int_Addr                 : ADDRESS_TYPE;
305
    Int_Pending              : DATA_TYPE;
306
    Int_Level                : integer range 0 to 7;
307
    Wait_for_FSM             : std_logic;
308
  end record;
309 151 jshamlet
 
310 167 jshamlet
  signal CPU                 : CPU_CTRL_TYPE;
311 151 jshamlet
 
312 167 jshamlet
  alias  Accumulator         is CPU.Regfile(0);
313
  alias  Flags               is CPU.Flags;
314 151 jshamlet
 
315 167 jshamlet
  signal Ack_Q, Ack_Q1       : std_logic;
316
  signal Int_Req, Int_Ack    : std_logic;
317 156 jshamlet
 
318 167 jshamlet
  type IC_MODES is ( CACHE_IDLE, CACHE_INSTR, CACHE_OPER1, CACHE_OPER2,
319
                     CACHE_PREFETCH, CACHE_PFFLUSH, CACHE_INVALIDATE );
320 151 jshamlet
 
321 167 jshamlet
  type PC_MODES is ( PC_INCR, PC_IDLE, PC_REV1, PC_REV2, PC_REV3,
322
                     PC_BRANCH, PC_LOAD );
323 156 jshamlet
 
324 167 jshamlet
  type SP_MODES is ( SP_IDLE, SP_RSET, SP_POP, SP_PUSH );
325 156 jshamlet
 
326 167 jshamlet
  type DP_MODES is ( DATA_BUS_IDLE, DATA_RD_MEM,
327
                     DATA_WR_REG, DATA_WR_FLAG, DATA_WR_PC );
328 156 jshamlet
 
329 167 jshamlet
  type DP_CTRL_TYPE is record
330
    Src                      : DP_MODES;
331
    Reg                      : SUBOP_TYPE;
332
  end record;
333 151 jshamlet
 
334 167 jshamlet
  type INT_CTRL_TYPE is record
335
    Mask_Set                 : std_logic;
336
    Soft_Ints                : INTERRUPT_BUNDLE;
337
    Incr_ISR                 : std_logic;
338
  end record;
339 156 jshamlet
 
340 151 jshamlet
begin
341
 
342 167 jshamlet
  Address_Sel: process( CPU )
343
    variable Offset_SX       : ADDRESS_TYPE;
344
  begin
345
    Offset_SX(15 downto 8)   := (others => CPU.Operand1(7));
346
    Offset_SX(7 downto 0)    := CPU.Operand1;
347 151 jshamlet
 
348 167 jshamlet
    case( CPU.State )is
349
      when LDO_C1 | LDX_C1 | STO_C1 | STX_C1 =>
350
        Address              <= CPU.LS_Address + Offset_SX;
351
      when LDA_C2 | STA_C2 =>
352
        Address              <= (CPU.Operand2 & CPU.Operand1);
353
      when PSH_C1 | POP_C1 | ISR_C3 | JSR_C1 | JSR_C2 |
354
           RTS_C1 | RTS_C2 | RTS_C3 =>
355
        Address              <= CPU.Stack_Ptr;
356
      when ISR_C1 | ISR_C2 =>
357
        Address              <= CPU.Int_Addr;
358
      when others =>
359
        Address              <= CPU.Program_Ctr;
360
    end case;
361
  end process;
362 156 jshamlet
 
363 167 jshamlet
  CPU_Proc: process( Clock, Reset )
364
    variable IC              : IC_MODES;
365
    variable PC              : PC_MODES;
366
    variable SP              : SP_MODES;
367
    variable DP              : DP_CTRL_TYPE;
368
    variable INT             : INT_CTRL_TYPE;
369
    variable RegSel          : integer range 0 to 7;
370
    variable Reg_l, Reg_u    : integer range 0 to 7;
371
    variable Ack_D           : std_logic;
372 151 jshamlet
    variable Offset_SX       : ADDRESS_TYPE;
373 167 jshamlet
    variable Index           : integer range 0 to 7;
374
    variable Temp            : std_logic_vector(8 downto 0);
375 151 jshamlet
  begin
376 167 jshamlet
    if( Reset = Reset_Level )then
377
      CPU.State              <= PIPE_FILL_0;
378
      CPU.LS_Address         <= (others => '0');
379
      CPU.Program_Ctr        <= Program_Start_Addr;
380
      CPU.Stack_Ptr          <= Stack_Start_Addr;
381
      CPU.Opcode             <= (others => '0');
382
      CPU.SubOp_p0           <= (others => '0');
383
      CPU.SubOp_p1           <= (others => '0');
384
      CPU.Prefetch           <= (others => '0');
385
      CPU.Operand1           <= (others => '0');
386
      CPU.Operand2           <= (others => '0');
387
      CPU.AutoIncr           <= '0';
388
      CPU.Cache_Valid        <= '0';
389
      CPU.A_Oper             <= ALU_IDLE;
390
      CPU.A_Reg              <= ACCUM;
391
      CPU.A_Data             <= x"00";
392
      CPU.A_NoFlags          <= '0';
393
      CPU.M_Reg              <= (others => '0');
394
      for i in 0 to 7 loop
395
        CPU.Regfile(i)       <= x"00";
396
      end loop;
397
      CPU.Flags              <= (others => '0');
398
      if( Enable_NMI )then
399
        CPU.Int_Mask         <= Default_Interrupt_Mask(7 downto 1) & '1';
400
      else
401
        CPU.Int_Mask         <= Default_Interrupt_Mask;
402
      end if;
403
      CPU.Int_Addr           <= (others => '0');
404
      CPU.Int_Pending        <= (others => '0');
405
      CPU.Int_Level          <= 7;
406
      CPU.Wait_for_FSM       <= '0';
407 151 jshamlet
 
408 167 jshamlet
      Ack_Q                  <= '0';
409
      Ack_Q1                 <= '0';
410
      Int_Ack                <= '0';
411
      Int_Req                <= '0';
412 151 jshamlet
 
413 167 jshamlet
      Wr_Data                <= x"00";
414
      Wr_Enable              <= '0';
415
      Rd_Enable              <= '1';
416
    elsif( rising_edge(Clock) )then
417 151 jshamlet
 
418 167 jshamlet
      IC                     := CACHE_IDLE;
419
      SP                     := SP_IDLE;
420
      DP.Src                 := DATA_RD_MEM;
421
      DP.Reg                 := ACCUM;
422
      Ack_D                  := '0';
423
      INT.Mask_Set           := '0';
424
      INT.Soft_Ints          := x"00";
425
      INT.Incr_ISR           := '0';
426
      RegSel                 := conv_integer(CPU.SubOp_p0);
427 151 jshamlet
 
428 167 jshamlet
      if( Enable_Auto_Increment )then
429
        Reg_l                := conv_integer(CPU.SubOp_p0(2 downto 1) & '0');
430
        Reg_u                := conv_integer(CPU.SubOp_p0(2 downto 1) & '1');
431
      else
432
        Reg_l                := conv_integer(CPU.SubOp_p0);
433
        Reg_u                := conv_integer(CPU.SubOp_p1);
434
      end if;
435 151 jshamlet
 
436 167 jshamlet
      CPU.LS_Address         <= CPU.Regfile(Reg_u) & CPU.Regfile(Reg_l);
437 151 jshamlet
 
438 167 jshamlet
      CPU.AutoIncr           <= '0';
439
      if( Enable_Auto_Increment  )then
440
        CPU.AutoIncr         <= CPU.SubOp_p0(0);
441
      end if;
442 151 jshamlet
 
443 167 jshamlet
      CPU.A_Oper             <= ALU_IDLE;
444
      CPU.A_Reg              <= ACCUM;
445
      CPU.A_Data             <= x"00";
446
      CPU.A_NoFlags          <= '0';
447 151 jshamlet
 
448 167 jshamlet
      case( CPU.State )is
449
        when PIPE_FILL_0 =>
450
          PC                 := PC_INCR;
451
          CPU.State          <= PIPE_FILL_1;
452 151 jshamlet
 
453 167 jshamlet
        when PIPE_FILL_1 =>
454
          PC                 := PC_INCR;
455
          CPU.State          <= PIPE_FILL_2;
456 151 jshamlet
 
457 167 jshamlet
        when PIPE_FILL_2 =>
458
          IC                 := CACHE_INSTR;
459
          PC                 := PC_INCR;
460
          CPU.State          <= INSTR_DECODE;
461 151 jshamlet
 
462 167 jshamlet
-------------------------------------------------------------------------------
463
-- Instruction Decode and dispatch
464
-------------------------------------------------------------------------------
465 151 jshamlet
 
466 167 jshamlet
        when INSTR_DECODE =>
467
          IC                 := CACHE_INSTR;
468
          PC                 := PC_INCR;
469
          case CPU.Opcode is
470
            when OP_PSH =>
471
              IC             := CACHE_PREFETCH;
472
              PC             := PC_IDLE;
473
              DP.Src         := DATA_WR_REG;
474
              DP.Reg         := CPU.SubOp_p0;
475
              CPU.State      <= PSH_C1;
476 151 jshamlet
 
477 167 jshamlet
            when OP_POP =>
478
              IC             := CACHE_PREFETCH;
479
              PC             := PC_REV2;
480
              SP             := SP_POP;
481
              CPU.State      <= POP_C1;
482 151 jshamlet
 
483 167 jshamlet
            when OP_BR0 | OP_BR1 =>
484
              IC             := CACHE_OPER1;
485
              CPU.State      <= BRN_C1;
486 151 jshamlet
 
487 167 jshamlet
            when OP_DBNZ =>
488
              IC             := CACHE_OPER1;
489
              CPU.A_Oper     <= ALU_DEC;
490
              CPU.A_Reg      <= CPU.SubOp_p0;
491
              CPU.A_Data     <= CPU.Regfile(RegSel);
492
              CPU.State      <= DBNZ_C1;
493 151 jshamlet
 
494 167 jshamlet
            when OP_INT =>
495
              if( CPU.Int_Mask(RegSel) = '1' )then
496
                CPU.State    <= WAIT_FOR_INT;
497
                INT.Soft_Ints(RegSel) := '1';
498
              end if;
499 151 jshamlet
 
500 167 jshamlet
            when OP_STK =>
501
              case CPU.SubOp_p0 is
502
                when SOP_RSP  =>
503
                  SP         := SP_RSET;
504 151 jshamlet
 
505 167 jshamlet
                when SOP_RTS | SOP_RTI =>
506
                  IC         := CACHE_IDLE;
507
                  PC         := PC_IDLE;
508
                  SP         := SP_POP;
509
                  CPU.State  <= RTS_C1;
510 151 jshamlet
 
511 167 jshamlet
                when SOP_BRK  =>
512
                  if( BRK_Implements_WAI )then
513
                    CPU.State<= WAIT_FOR_INT;
514
                  else
515
                    PC       := PC_REV2;
516
                    CPU.State<= BRK_C1;
517
                  end if;
518 151 jshamlet
 
519 167 jshamlet
                when SOP_JMP  =>
520
                  IC         := CACHE_OPER1;
521
                  PC         := PC_IDLE;
522
                  CPU.State  <= JMP_C1;
523 151 jshamlet
 
524 167 jshamlet
                when SOP_SMSK =>
525
                  INT.Mask_Set := '1';
526 151 jshamlet
 
527 167 jshamlet
                when SOP_GMSK =>
528
                  IC         := CACHE_PREFETCH;
529
                  PC         := PC_REV1;
530
                  CPU.State  <= GMSK_C1;
531 151 jshamlet
 
532 167 jshamlet
                when SOP_JSR =>
533
                  IC         := CACHE_OPER1;
534
                  PC         := PC_IDLE;
535
                  DP.Src     := DATA_WR_PC;
536
                  DP.Reg     := ACCUM+1;
537
                  CPU.State  <= JSR_C1;
538 151 jshamlet
 
539 167 jshamlet
                when others => null;
540
              end case;
541 151 jshamlet
 
542 167 jshamlet
            when OP_MUL =>
543
              IC             := CACHE_PREFETCH;
544
              PC             := PC_REV1;
545
              CPU.M_Reg      <= CPU.SubOp_p0;
546
              CPU.State      <= MUL_C1;
547 151 jshamlet
 
548 167 jshamlet
            when OP_UPP =>
549
              IC             := CACHE_PREFETCH;
550
              PC             := PC_REV1;
551
              CPU.A_Oper     <= ALU_UPP1;
552
              CPU.A_NoFlags  <= '1';
553
              CPU.A_Reg      <= CPU.SubOp_p0;
554
              CPU.A_Data     <= CPU.Regfile(RegSel);
555
              CPU.State      <= UPP_C1;
556 151 jshamlet
 
557 167 jshamlet
            when OP_LDA =>
558
              IC             := CACHE_OPER1;
559
              PC             := PC_IDLE;
560
              CPU.State      <= LDA_C1;
561 151 jshamlet
 
562 167 jshamlet
            when OP_LDI =>
563
              IC             := CACHE_OPER1;
564
              PC             := PC_IDLE;
565
              CPU.State      <= LDI_C1;
566 151 jshamlet
 
567 167 jshamlet
            when OP_LDO =>
568
              IC             := CACHE_OPER1;
569
              PC             := PC_IDLE;
570
              CPU.State      <= LDO_C1;
571 151 jshamlet
 
572 167 jshamlet
            when OP_LDX =>
573
              IC             := CACHE_PFFLUSH;
574
              PC             := PC_REV1;
575
              CPU.State      <= LDX_C1;
576 151 jshamlet
 
577 167 jshamlet
            when OP_STA =>
578
              IC             := CACHE_OPER1;
579
              PC             := PC_IDLE;
580
              CPU.State      <= STA_C1;
581
 
582
            when OP_STO =>
583
              IC             := CACHE_OPER1;
584
              PC             := PC_REV2;
585
              DP.Src         := DATA_WR_REG;
586
              DP.Reg         := ACCUM;
587
              CPU.State      <= STO_C1;
588
 
589
            when OP_STX =>
590
              IC             := CACHE_PFFLUSH;
591
              PC             := PC_REV2;
592
              DP.Src         := DATA_WR_REG;
593
              DP.Reg         := ACCUM;
594
              CPU.State      <= STX_C1;
595
 
596
            when others =>
597
              IC             := CACHE_PREFETCH;
598
              PC             := PC_REV1;
599
              CPU.State      <= MATH_C1;
600
 
601
          end case;
602
 
603 151 jshamlet
-------------------------------------------------------------------------------
604
-- Program Control (BR0_C1, BR1_C1, DBNZ_C1, JMP )
605
-------------------------------------------------------------------------------
606
 
607 167 jshamlet
        when BRN_C1 =>
608
          if( Flags(RegSel) = CPU.Opcode(0) )then
609
            IC               := CACHE_IDLE;
610
            PC               := PC_BRANCH;
611
            CPU.State        <= PIPE_FILL_0;
612
          else
613
            IC               := CACHE_INSTR;
614
            CPU.State        <= INSTR_DECODE;
615
          end if;
616 151 jshamlet
 
617 167 jshamlet
        when DBNZ_C1 =>
618
          IC                 := CACHE_PREFETCH;
619
          PC                 := PC_IDLE;
620
          CPU.State          <= DBNZ_C2;
621 151 jshamlet
 
622 167 jshamlet
        when DBNZ_C2 =>
623
          if( Flags(FL_ZERO) = '0' )then
624
            IC               := CACHE_INVALIDATE;
625
            PC               := PC_BRANCH;
626
            CPU.State        <= PIPE_FILL_0;
627
          else
628
            PC               := PC_REV1;
629
            CPU.State        <= PIPE_FILL_1;
630
          end if;
631 151 jshamlet
 
632 167 jshamlet
        when JMP_C1 =>
633
          IC                 := CACHE_OPER2;
634
          PC                 := PC_IDLE;
635
          CPU.State          <= JMP_C2;
636 151 jshamlet
 
637 167 jshamlet
        when JMP_C2 =>
638
          PC                 := PC_LOAD;
639
          CPU.State          <= PIPE_FILL_0;
640
 
641 151 jshamlet
-------------------------------------------------------------------------------
642
-- Data Storage - Load from memory (LDA, LDI, LDO, LDX)
643
-------------------------------------------------------------------------------
644
 
645 167 jshamlet
        when LDA_C1 =>
646
          IC                 := CACHE_OPER2;
647
          PC                 := PC_IDLE;
648
          CPU.State          <= LDA_C2;
649 151 jshamlet
 
650 167 jshamlet
        when LDA_C2 =>
651
          PC                 := PC_IDLE;
652
          CPU.State          <= LDA_C3;
653 151 jshamlet
 
654 167 jshamlet
        when LDA_C3 =>
655
          PC                 := PC_IDLE;
656
          CPU.State          <= LDA_C4;
657 151 jshamlet
 
658 167 jshamlet
        when LDA_C4 =>
659
          IC                 := CACHE_OPER1;
660
          PC                 := PC_INCR;
661
          CPU.State          <= LDI_C1;
662 151 jshamlet
 
663 167 jshamlet
        when LDI_C1 =>
664
          IC                 := CACHE_PREFETCH;
665
          PC                 := PC_INCR;
666
          CPU.A_Oper         <= ALU_LDI;
667
          CPU.A_Reg          <= CPU.SubOp_p0;
668
          CPU.A_Data         <= CPU.Operand1;
669
          CPU.State          <= PIPE_FILL_2;
670 151 jshamlet
 
671 167 jshamlet
        when LDO_C1 =>
672
          IC                 := CACHE_PREFETCH;
673
          PC                 := PC_REV2;
674
          RegSel             := conv_integer(CPU.SubOp_p0(2 downto 1) & '0');
675
          if( Enable_Auto_Increment and CPU.AutoIncr = '1' )then
676
            CPU.A_Oper       <= ALU_UPP1;
677
            CPU.A_Reg        <= CPU.SubOp_p0(2 downto 1) & '0';
678
            CPU.A_NoFlags    <= '1';
679
            CPU.A_Data       <= CPU.RegFile(RegSel);
680 151 jshamlet
          end if;
681 167 jshamlet
          CPU.State          <= LDX_C2;
682 151 jshamlet
 
683 167 jshamlet
        when LDX_C1 =>
684
          PC                 := PC_REV2;
685
          RegSel             := conv_integer(CPU.SubOp_p0(2 downto 1) & '0');
686
          if( Enable_Auto_Increment and CPU.AutoIncr = '1' )then
687
            CPU.A_Oper       <= ALU_UPP1;
688
            CPU.A_Reg        <= CPU.SubOp_p0(2 downto 1) & '0';
689
            CPU.A_NoFlags    <= '1';
690
            CPU.A_Data       <= CPU.Regfile(RegSel);
691
          end if;
692
          CPU.State          <= LDX_C2;
693 151 jshamlet
 
694 167 jshamlet
        when LDX_C2 =>
695
          PC                 := PC_INCR;
696
          RegSel             := conv_integer(CPU.SubOp_p0(2 downto 1) & '1');
697
          if( Enable_Auto_Increment and CPU.AutoIncr = '1' )then
698
            CPU.A_Oper       <= ALU_UPP2;
699
            CPU.A_Reg        <= CPU.SubOp_p0(2 downto 1) & '1';
700
            CPU.A_Data       <= CPU.Regfile(RegSel);
701
          end if;
702
          CPU.State          <= LDX_C3;
703 151 jshamlet
 
704 167 jshamlet
        when LDX_C3 =>
705
          IC                 := CACHE_OPER1;
706
          PC                 := PC_INCR;
707
          CPU.State          <= LDX_C4;
708 151 jshamlet
 
709 167 jshamlet
        when LDX_C4 =>
710
          PC                 := PC_INCR;
711
          CPU.A_Oper         <= ALU_LDI;
712
          CPU.A_Reg          <= ACCUM;
713
          CPU.A_Data         <= CPU.Operand1;
714
          CPU.State          <= PIPE_FILL_2;
715
 
716 151 jshamlet
-------------------------------------------------------------------------------
717
-- Data Storage - Store to memory (STA, STO, STX)
718
-------------------------------------------------------------------------------
719 167 jshamlet
        when STA_C1 =>
720
          IC                 := CACHE_OPER2;
721
          PC                 := PC_IDLE;
722
          DP.Src             := DATA_WR_REG;
723
          DP.Reg             := CPU.SubOp_p0;
724
          CPU.State          <= STA_C2;
725 151 jshamlet
 
726 167 jshamlet
        when STA_C2 =>
727
          IC                 := CACHE_PREFETCH;
728
          PC                 := PC_INCR;
729
          CPU.State          <= PIPE_FILL_1;
730 151 jshamlet
 
731 167 jshamlet
        when STO_C1 =>
732
          IC                 := CACHE_PREFETCH;
733
          PC                 := PC_INCR;
734
          RegSel             := conv_integer(CPU.SubOp_p0(2 downto 1) & '0');
735
          if( not Enable_Auto_Increment )then
736
            CPU.State        <= PIPE_FILL_1;
737
          else
738
            CPU.State        <= PIPE_FILL_0;
739
            if( CPU.AutoIncr = '1' )then
740
              CPU.A_Oper     <= ALU_UPP1;
741
              CPU.A_Reg      <= CPU.SubOp_p0(2 downto 1) & '0';
742
              CPU.A_NoFlags  <= '1';
743
              CPU.A_Data     <= CPU.Regfile(RegSel);
744
              CPU.State      <= STO_C2;
745
            end if;
746 151 jshamlet
          end if;
747
 
748 167 jshamlet
        when STO_C2 =>
749
          PC                 := PC_INCR;
750
          RegSel             := conv_integer(CPU.SubOp_p0(2 downto 1) & '1');
751
          CPU.A_Oper         <= ALU_UPP2;
752
          CPU.A_Reg          <= CPU.SubOp_p0(2 downto 1) & '1';
753
          CPU.A_Data         <= CPU.Regfile(RegSel);
754
          CPU.State          <= PIPE_FILL_1;
755 151 jshamlet
 
756 167 jshamlet
        when STX_C1 =>
757
          PC                 := PC_INCR;
758
          if( not Enable_Auto_Increment )then
759
            CPU.State        <= PIPE_FILL_1;
760
          else
761
            RegSel           := conv_integer(CPU.SubOp_p0(2 downto 1) & '0');
762
            CPU.State        <= PIPE_FILL_1;
763
            if( CPU.AutoIncr = '1' )then
764
              CPU.A_Oper     <= ALU_UPP1;
765
              CPU.A_Reg      <= CPU.SubOp_p0(2 downto 1) & '0';
766
              CPU.A_NoFlags  <= '1';
767
              CPU.A_Data     <= CPU.Regfile(RegSel);
768
              CPU.State      <= STX_C2;
769
            end if;
770 151 jshamlet
          end if;
771
 
772 167 jshamlet
        when STX_C2 =>
773
          PC                 := PC_INCR;
774
          RegSel             := conv_integer(CPU.SubOp_p0(2 downto 1) & '1');
775
          CPU.A_Oper         <= ALU_UPP2;
776
          CPU.A_Reg          <= CPU.SubOp_p0(2 downto 1) & '1';
777
          CPU.A_Data         <= CPU.Regfile(RegSel);
778
          CPU.State          <= PIPE_FILL_2;
779 151 jshamlet
 
780
-------------------------------------------------------------------------------
781 167 jshamlet
-- Multi-Cycle Math Operations
782 151 jshamlet
-------------------------------------------------------------------------------
783
 
784 167 jshamlet
        when MATH_C1 =>
785
          PC                 := PC_INCR;
786
          CPU.A_Oper         <= CPU.Opcode;
787
          CPU.A_Reg          <= CPU.SubOp_p0;
788
          CPU.A_Data         <= CPU.Regfile(RegSel);
789
          CPU.State          <= PIPE_FILL_2;
790 151 jshamlet
 
791 167 jshamlet
        when GMSK_C1 =>
792
          PC                 := PC_INCR;
793
          CPU.A_Oper         <= ALU_LDI;
794
          CPU.A_Data         <= CPU.Int_Mask;
795
          CPU.State          <= PIPE_FILL_2;
796 151 jshamlet
 
797 167 jshamlet
        when MUL_C1 =>
798
          PC                 := PC_INCR;
799
          CPU.A_Oper         <= ALU_MUL;
800
          CPU.State          <= PIPE_FILL_2;
801
 
802
        when UPP_C1 =>
803
          PC                 := PC_INCR;
804
          RegSel             := conv_integer(CPU.SubOp_p1);
805
          CPU.A_Oper         <= ALU_UPP2;
806
          CPU.A_Reg          <= CPU.SubOp_p1;
807
          CPU.A_Data         <= CPU.Regfile(RegSel);
808
          CPU.State          <= PIPE_FILL_2;
809
 
810 151 jshamlet
-------------------------------------------------------------------------------
811
-- Basic Stack Manipulation (PSH, POP, RSP)
812
-------------------------------------------------------------------------------
813 167 jshamlet
        when PSH_C1 =>
814
          PC                 := PC_REV1;
815
          SP                 := SP_PUSH;
816
          CPU.State          <= PIPE_FILL_1;
817 151 jshamlet
 
818 167 jshamlet
        when POP_C1 =>
819
          PC                 := PC_IDLE;
820
          CPU.State          <= POP_C2;
821 151 jshamlet
 
822 167 jshamlet
        when POP_C2 =>
823
          PC                 := PC_IDLE;
824
          CPU.State          <= POP_C3;
825 151 jshamlet
 
826 167 jshamlet
        when POP_C3 =>
827
          IC                 := CACHE_OPER1;
828
          PC                 := PC_INCR;
829
          CPU.State          <= POP_C4;
830 151 jshamlet
 
831 167 jshamlet
        when POP_C4 =>
832
          PC                 := PC_INCR;
833
          CPU.A_Oper         <= ALU_LDI;
834
          CPU.A_Reg          <= CPU.SubOp_p0;
835
          CPU.A_NoFlags      <= '1';
836
          CPU.A_Data         <= CPU.Operand1;
837
          CPU.State          <= PIPE_FILL_2;
838
 
839 151 jshamlet
-------------------------------------------------------------------------------
840
-- Subroutines & Interrupts (RTS, JSR)
841
-------------------------------------------------------------------------------
842 167 jshamlet
        when WAIT_FOR_INT =>
843
          PC                 := PC_IDLE;
844
          DP.Src             := DATA_BUS_IDLE;
845
          CPU.State          <= WAIT_FOR_INT;
846 151 jshamlet
 
847 167 jshamlet
        when ISR_C1 =>
848
          PC                 := PC_IDLE;
849
          INT.Incr_ISR       := '1';
850
          CPU.State          <= ISR_C2;
851 151 jshamlet
 
852 167 jshamlet
        when ISR_C2 =>
853
          PC                 := PC_IDLE;
854
          DP.Src             := DATA_WR_FLAG;
855
          CPU.State          <= ISR_C3;
856 151 jshamlet
 
857 167 jshamlet
        when ISR_C3 =>
858
          IC                 := CACHE_OPER1;
859
          PC                 := PC_IDLE;
860
          SP                 := SP_PUSH;
861
          DP.Src             := DATA_WR_PC;
862
          DP.Reg             := ACCUM+1;
863
          Ack_D              := '1';
864
          CPU.A_Oper         <= ALU_STP;
865
          CPU.A_Reg          <= INT_FLAG;
866
          CPU.State          <= JSR_C1;
867 151 jshamlet
 
868 167 jshamlet
        when JSR_C1 =>
869
          IC                 := CACHE_OPER2;
870
          PC                 := PC_IDLE;
871
          SP                 := SP_PUSH;
872
          DP.Src             := DATA_WR_PC;
873
          DP.Reg             := ACCUM;
874
          CPU.State          <= JSR_C2;
875 151 jshamlet
 
876 167 jshamlet
        when JSR_C2 =>
877
          SP                 := SP_PUSH;
878
          PC                 := PC_LOAD;
879
          CPU.State          <= PIPE_FILL_0;
880 151 jshamlet
 
881 167 jshamlet
        when RTS_C1 =>
882
          PC                 := PC_IDLE;
883
          SP                 := SP_POP;
884
          CPU.State          <= RTS_C2;
885 151 jshamlet
 
886 167 jshamlet
        when RTS_C2 =>
887
          PC                 := PC_IDLE;
888
          if( CPU.SubOp_p0 = SOP_RTI )then
889
            SP               := SP_POP;
890
          end if;
891
          CPU.State          <= RTS_C3;
892 151 jshamlet
 
893 167 jshamlet
        when RTS_C3 =>
894
          IC                 := CACHE_OPER1;
895
          PC                 := PC_IDLE;
896
          CPU.State          <= RTS_C4;
897 151 jshamlet
 
898 167 jshamlet
        when RTS_C4 =>
899
          IC                 := CACHE_OPER2;
900
          PC                 := PC_IDLE;
901
          CPU.State          <= RTS_C5;
902 151 jshamlet
 
903 167 jshamlet
        when RTS_C5 =>
904
          PC                 := PC_LOAD;
905
          CPU.State          <= PIPE_FILL_0;
906
          if( CPU.SubOp_p0 = SOP_RTI )then
907
            IC               := CACHE_OPER1;
908
            CPU.State        <= RTI_C6;
909
          end if;
910 151 jshamlet
 
911 167 jshamlet
        when RTI_C6 =>
912
          PC                 := PC_INCR;
913
          CPU.Int_Level      <= 7;
914
          CPU.A_Oper         <= ALU_RFLG;
915
          CPU.A_Data         <= CPU.Operand1;
916
          CPU.State          <= PIPE_FILL_1;
917 151 jshamlet
 
918
-------------------------------------------------------------------------------
919
-- Debugging (BRK) Performs a 5-clock NOP
920
-------------------------------------------------------------------------------
921
 
922 167 jshamlet
        when BRK_C1 =>
923
          PC                 := PC_IDLE;
924
          CPU.State          <= PIPE_FILL_0;
925 151 jshamlet
 
926 167 jshamlet
        when others =>
927
          null;
928
 
929
      end case;
930
 
931
-------------------------------------------------------------------------------
932
-- Interrupt Override Logic
933
-------------------------------------------------------------------------------
934
 
935 151 jshamlet
    if( Int_Req = '1' )then
936 167 jshamlet
      if( CPU.State = INSTR_DECODE or CPU.State = WAIT_FOR_INT )then
937
        IC                   := CACHE_IDLE;
938
        PC                   := PC_REV3;
939
        SP                   := SP_IDLE;
940
        DP.Src               := DATA_RD_MEM;
941
        INT.Soft_Ints        := (others => '0');
942
        CPU.A_Oper           <= ALU_IDLE;
943
        CPU.State            <= ISR_C1;
944 153 jshamlet
 
945 151 jshamlet
      end if;
946
    end if;
947
 
948 156 jshamlet
-------------------------------------------------------------------------------
949 167 jshamlet
-- Vectored Interrupt Controller
950 156 jshamlet
-------------------------------------------------------------------------------
951 151 jshamlet
 
952 167 jshamlet
      CPU.Int_Pending        <= ((Interrupts or INT.Soft_Ints) and
953
                                 CPU.Int_Mask) or CPU.Int_Pending;
954 156 jshamlet
 
955 167 jshamlet
      if( CPU.Wait_for_FSM = '0' )then
956
        if( CPU.Int_Pending(0) = '1' )then
957
          CPU.Int_Addr       <= INT_VECTOR_0;
958
          CPU.Int_Level      <= 0;
959
          CPU.Int_Pending(0) <= '0';
960
          CPU.Wait_for_FSM   <= '1';
961
        elsif( CPU.Int_Pending(1) = '1' and CPU.Int_Level > 0 )then
962
          CPU.Int_Addr       <= INT_VECTOR_1;
963
          CPU.Int_Level      <= 1;
964
          CPU.Int_Pending(1) <= '0';
965
          CPU.Wait_for_FSM   <= '1';
966
        elsif( CPU.Int_Pending(2) = '1' and CPU.Int_Level > 1 )then
967
          CPU.Int_Addr       <= INT_VECTOR_2;
968
          CPU.Int_Level      <= 2;
969
          CPU.Int_Pending(2) <= '0';
970
          CPU.Wait_for_FSM   <= '1';
971
        elsif( CPU.Int_Pending(3) = '1' and CPU.Int_Level > 2 )then
972
          CPU.Int_Addr       <= INT_VECTOR_3;
973
          CPU.Int_Level      <= 3;
974
          CPU.Int_Pending(3) <= '0';
975
          CPU.Wait_for_FSM   <= '1';
976
        elsif( CPU.Int_Pending(4) = '1' and CPU.Int_Level > 3 )then
977
          CPU.Int_Addr       <= INT_VECTOR_4;
978
          CPU.Int_Level      <= 4;
979
          CPU.Int_Pending(4) <= '0';
980
          CPU.Wait_for_FSM   <= '1';
981
        elsif( CPU.Int_Pending(5) = '1' and CPU.Int_Level > 4 )then
982
          CPU.Int_Addr       <= INT_VECTOR_5;
983
          CPU.Int_Level      <= 5;
984
          CPU.Int_Pending(5) <= '0';
985
          CPU.Wait_for_FSM   <= '1';
986
        elsif( CPU.Int_Pending(6) = '1' and CPU.Int_Level > 6 )then
987
          CPU.Int_Addr       <= INT_VECTOR_6;
988
          CPU.Int_Level      <= 6;
989
          CPU.Int_Pending(6) <= '0';
990
          CPU.Wait_for_FSM   <= '1';
991
        elsif( CPU.Int_Pending(7) = '1' )then
992
          CPU.Int_Addr       <= INT_VECTOR_7;
993
          CPU.Int_Level      <= 7;
994
          CPU.Int_Pending(7) <= '0';
995
          CPU.Wait_for_FSM   <= '1';
996
        end if;
997
      end if;
998 156 jshamlet
 
999 167 jshamlet
      Ack_Q                  <= Ack_D;
1000
      Ack_Q1                 <= Ack_Q;
1001
      Int_Ack                <= Ack_Q1;
1002
      if( Int_Ack = '1' )then
1003
        CPU.Wait_for_FSM     <= '0';
1004
      end if;
1005 151 jshamlet
 
1006 167 jshamlet
      Int_Req                <= CPU.Wait_for_FSM and (not Int_Ack);
1007 156 jshamlet
 
1008 167 jshamlet
      if( INT.Mask_Set = '1' )then
1009
        if( Enable_NMI )then
1010
          CPU.Int_Mask       <= Accumulator(7 downto 1) & '1';
1011
        else -- Disable NMI override
1012
          CPU.Int_Mask       <= Accumulator;
1013
        end if;
1014
      end if;
1015 156 jshamlet
 
1016 167 jshamlet
      if( INT.Incr_ISR = '1' )then
1017
        CPU.Int_Addr         <= CPU.Int_Addr + 1;
1018
      end if;
1019 156 jshamlet
 
1020
-------------------------------------------------------------------------------
1021 167 jshamlet
-- ALU (Arithmetic / Logic Unit)
1022 156 jshamlet
-------------------------------------------------------------------------------
1023 167 jshamlet
      Index                  := conv_integer(CPU.A_Reg);
1024 156 jshamlet
 
1025 167 jshamlet
      CPU.M_Prod             <= Accumulator *
1026
                                CPU.Regfile(conv_integer(CPU.M_Reg));
1027 156 jshamlet
 
1028 167 jshamlet
      case( CPU.A_Oper )is
1029
        when ALU_INC => -- Rn = Rn + 1 : CPU.Flags N,C,Z
1030
          Temp               := ("0" & x"01") +
1031
                                ("0" & CPU.A_Data);
1032
          Flags(FL_CARRY)    <= Temp(8);
1033
          CPU.Regfile(Index) <= Temp(7 downto 0);
1034
          if( CPU.A_NoFlags = '0' )then
1035
            Flags(FL_ZERO)   <= nor_reduce(Temp(7 downto 0));
1036
            Flags(FL_NEG)    <= Temp(7);
1037
          end if;
1038 156 jshamlet
 
1039 167 jshamlet
        when ALU_UPP2 => -- Rn = Rn + C : Flags C
1040
          Temp               := ("0" & x"00") +
1041
                                ("0" & CPU.A_Data) +
1042
                                 Flags(FL_CARRY);
1043
          Flags(FL_CARRY)    <= Temp(8);
1044
          CPU.Regfile(Index) <= Temp(7 downto 0);
1045 156 jshamlet
 
1046 167 jshamlet
        when ALU_ADC => -- R0 = R0 + Rn + C :  N,C,Z
1047
          Temp               := ("0" & Accumulator) +
1048
                                ("0" & CPU.A_Data) +
1049
                                Flags(FL_CARRY);
1050
          Flags(FL_ZERO)     <= nor_reduce(Temp(7 downto 0));
1051
          Flags(FL_CARRY)    <= Temp(8);
1052
          Flags(FL_NEG)      <= Temp(7);
1053
          Accumulator        <= Temp(7 downto 0);
1054 151 jshamlet
 
1055 167 jshamlet
        when ALU_TX0 => -- R0 = Rn : Flags N,Z
1056
          Temp               := "0" & CPU.A_Data;
1057
          Flags(FL_ZERO)     <= nor_reduce(Temp(7 downto 0));
1058
          Flags(FL_NEG)      <= Temp(7);
1059
          Accumulator        <= Temp(7 downto 0);
1060 151 jshamlet
 
1061 167 jshamlet
        when ALU_OR  => -- R0 = R0 | Rn : Flags N,Z
1062
          Temp(7 downto 0)   := Accumulator or CPU.A_Data;
1063
          Flags(FL_ZERO)     <= nor_reduce(Temp(7 downto 0));
1064
          Flags(FL_NEG)      <= Temp(7);
1065
          Accumulator        <= Temp(7 downto 0);
1066 156 jshamlet
 
1067 167 jshamlet
        when ALU_AND => -- R0 = R0 & Rn : Flags N,Z
1068
          Temp(7 downto 0)   := Accumulator and CPU.A_Data;
1069
          Flags(FL_ZERO)     <= nor_reduce(Temp(7 downto 0));
1070
          Flags(FL_NEG)      <= Temp(7);
1071
          Accumulator        <= Temp(7 downto 0);
1072 156 jshamlet
 
1073 167 jshamlet
        when ALU_XOR => -- R0 = R0 ^ Rn : Flags N,Z
1074
          Temp(7 downto 0)   := Accumulator xor CPU.A_Data;
1075
          Flags(FL_ZERO)     <= nor_reduce(Temp(7 downto 0));
1076
          Flags(FL_NEG)      <= Temp(7);
1077
          Accumulator        <= Temp(7 downto 0);
1078 156 jshamlet
 
1079 167 jshamlet
        when ALU_ROL => -- Rn = Rn<<1,C : Flags N,C,Z
1080
          Temp               := CPU.A_Data & Flags(FL_CARRY);
1081
          Flags(FL_ZERO)     <= nor_reduce(Temp(7 downto 0));
1082
          Flags(FL_CARRY)    <= Temp(8);
1083
          Flags(FL_NEG)      <= Temp(7);
1084
          CPU.Regfile(Index) <= Temp(7 downto 0);
1085 156 jshamlet
 
1086 167 jshamlet
        when ALU_ROR => -- Rn = C,Rn>>1 : Flags N,C,Z
1087
          Temp               := CPU.A_Data(0) & Flags(FL_CARRY) &
1088
                                CPU.A_Data(7 downto 1);
1089
          Flags(FL_ZERO)     <= nor_reduce(Temp(7 downto 0));
1090
          Flags(FL_CARRY)    <= Temp(8);
1091
          Flags(FL_NEG)      <= Temp(7);
1092
          CPU.Regfile(Index) <= Temp(7 downto 0);
1093 156 jshamlet
 
1094 167 jshamlet
        when ALU_DEC => -- Rn = Rn - 1 : Flags N,C,Z
1095
          Temp               := ("0" & CPU.A_Data) +
1096
                                ("0" & x"FF");
1097
          Flags(FL_ZERO)     <= nor_reduce(Temp(7 downto 0));
1098
          Flags(FL_CARRY)    <= Temp(8);
1099
          Flags(FL_NEG)      <= Temp(7);
1100
          CPU.Regfile(Index) <= Temp(7 downto 0);
1101 156 jshamlet
 
1102 167 jshamlet
        when ALU_SBC => -- Rn = R0 - Rn - C : Flags N,C,Z
1103
          Temp               := ("0" & Accumulator) +
1104
                                ("0" & (not CPU.A_Data)) +
1105
                                     Flags(FL_CARRY);
1106
          Flags(FL_ZERO)     <= nor_reduce(Temp(7 downto 0));
1107
          Flags(FL_CARRY)    <= Temp(8);
1108
          Flags(FL_NEG)      <= Temp(7);
1109
          Accumulator        <= Temp(7 downto 0);
1110 151 jshamlet
 
1111 167 jshamlet
        when ALU_ADD => -- R0 = R0 + Rn : Flags N,C,Z
1112
          Temp               := ("0" & Accumulator) +
1113
                                ("0" & CPU.A_Data);
1114
          Flags(FL_CARRY)    <= Temp(8);
1115
          Accumulator        <= Temp(7 downto 0);
1116
          Flags(FL_ZERO)     <= nor_reduce(Temp(7 downto 0));
1117
          Flags(FL_NEG)      <= Temp(7);
1118 164 jshamlet
 
1119 167 jshamlet
        when ALU_STP => -- Sets bit(n) in the CPU.Flags register
1120
          Flags(Index)       <= '1';
1121 151 jshamlet
 
1122 167 jshamlet
        when ALU_BTT => -- Z = !R0(N), N = R0(7)
1123
          Flags(FL_ZERO)     <= not Accumulator(Index);
1124
          Flags(FL_NEG)      <= Accumulator(7);
1125 156 jshamlet
 
1126 167 jshamlet
        when ALU_CLP => -- Clears bit(n) in the Flags register
1127
          Flags(Index)       <= '0';
1128 156 jshamlet
 
1129 167 jshamlet
        when ALU_T0X => -- Rn = R0 : Flags N,Z
1130
          Temp               := "0" & Accumulator;
1131
          Flags(FL_ZERO)     <= nor_reduce(Temp(7 downto 0));
1132
          Flags(FL_NEG)      <= Temp(7);
1133
          CPU.Regfile(Index) <= Temp(7 downto 0);
1134 156 jshamlet
 
1135 167 jshamlet
        when ALU_CMP => -- Sets CPU.Flags on R0 - Rn : Flags N,C,Z
1136
          Temp               := ("0" & Accumulator) +
1137
                                ("0" & (not CPU.A_Data)) +
1138
                                 '1';
1139
          Flags(FL_ZERO)     <= nor_reduce(Temp(7 downto 0));
1140
          Flags(FL_CARRY)    <= Temp(8);
1141
          Flags(FL_NEG)      <= Temp(7);
1142 156 jshamlet
 
1143 167 jshamlet
        when ALU_MUL => -- Stage 1 of 2 {R1:R0} = R0 * Rn : Flags Z
1144
          CPU.Regfile(0)     <= CPU.M_Prod(7 downto 0);
1145
          CPU.Regfile(1)     <= CPU.M_Prod(15 downto 8);
1146
          Flags(FL_ZERO)     <= nor_reduce(CPU.M_Prod);
1147 151 jshamlet
 
1148 167 jshamlet
        when ALU_LDI => -- Rn <= Data : Flags N,Z
1149
          if( CPU.A_NoFlags = '0' )then
1150
            Flags(FL_ZERO)   <= nor_reduce(CPU.A_Data);
1151
            Flags(FL_NEG)    <= CPU.A_Data(7);
1152
          end if;
1153
          CPU.Regfile(Index) <= CPU.A_Data;
1154 151 jshamlet
 
1155 167 jshamlet
        when ALU_RFLG =>
1156
          Flags              <= CPU.A_Data;
1157 151 jshamlet
 
1158 167 jshamlet
        when others =>
1159
          null;
1160
      end case;
1161 151 jshamlet
 
1162 156 jshamlet
-------------------------------------------------------------------------------
1163 167 jshamlet
-- Instruction/Operand caching for pipelined memory access
1164 156 jshamlet
-------------------------------------------------------------------------------
1165
 
1166 167 jshamlet
      case( IC )is
1167
        when CACHE_INSTR =>
1168
          CPU.Opcode         <= Rd_Data(7 downto 3);
1169
          CPU.SubOp_p0       <= Rd_Data(2 downto 0);
1170
          CPU.SubOp_p1       <= Rd_Data(2 downto 0) + 1;
1171
          if( CPU.Cache_Valid = '1' )then
1172
            CPU.Opcode       <= CPU.Prefetch(7 downto 3);
1173
            CPU.SubOp_p0     <= CPU.Prefetch(2 downto 0);
1174
            CPU.SubOp_p1     <= CPU.Prefetch(2 downto 0) + 1;
1175
            CPU.Cache_Valid  <= '0';
1176 156 jshamlet
          end if;
1177
 
1178 167 jshamlet
        when CACHE_OPER1 =>
1179
          CPU.Operand1       <= Rd_Data;
1180 156 jshamlet
 
1181 167 jshamlet
        when CACHE_OPER2 =>
1182
          CPU.Operand2       <= Rd_Data;
1183 156 jshamlet
 
1184 167 jshamlet
        when CACHE_PREFETCH =>
1185
          CPU.Prefetch       <= Rd_Data;
1186
          CPU.Cache_Valid    <= '1';
1187 156 jshamlet
 
1188 167 jshamlet
        when CACHE_PFFLUSH =>
1189
          CPU.Prefetch       <= Rd_Data;
1190
          CPU.Operand1       <= x"00";
1191
          CPU.Operand2       <= x"00";
1192
          CPU.Cache_Valid    <= '1';
1193 156 jshamlet
 
1194 167 jshamlet
        when CACHE_INVALIDATE =>
1195
          CPU.Cache_Valid    <= '0';
1196
 
1197
        when CACHE_IDLE =>
1198
          null;
1199
      end case;
1200
 
1201 156 jshamlet
-------------------------------------------------------------------------------
1202 167 jshamlet
-- Program Counter
1203 156 jshamlet
-------------------------------------------------------------------------------
1204
 
1205 167 jshamlet
      Offset_SX(15 downto 8) := (others => CPU.Operand1(7));
1206
      Offset_SX(7 downto 0)  := CPU.Operand1;
1207 156 jshamlet
 
1208 167 jshamlet
      case( PC )is
1209 156 jshamlet
 
1210 167 jshamlet
        when PC_INCR =>
1211
          CPU.Program_Ctr    <= CPU.Program_ctr + 1;
1212
 
1213
        when PC_IDLE =>
1214
        --CPU.Program_Ctr    <= CPU.Program_Ctr + 0;
1215
          null;
1216 156 jshamlet
 
1217 167 jshamlet
        when PC_REV1 =>
1218
          CPU.Program_Ctr    <= CPU.Program_Ctr - 1;
1219 156 jshamlet
 
1220 167 jshamlet
        when PC_REV2 =>
1221
          CPU.Program_Ctr    <= CPU.Program_Ctr - 2;
1222 156 jshamlet
 
1223 167 jshamlet
        when PC_REV3 =>
1224
          CPU.Program_Ctr    <= CPU.Program_Ctr - 3;
1225 156 jshamlet
 
1226 167 jshamlet
        when PC_BRANCH =>
1227
          CPU.Program_Ctr    <= CPU.Program_Ctr + Offset_SX - 2;
1228 156 jshamlet
 
1229 167 jshamlet
        when PC_LOAD =>
1230
          CPU.Program_Ctr    <= CPU.Operand2 & CPU.Operand1;
1231 156 jshamlet
 
1232 167 jshamlet
        when others =>
1233
          null;
1234
      end case;
1235 156 jshamlet
 
1236 167 jshamlet
-------------------------------------------------------------------------------
1237
-- (Write) Data Path
1238
-------------------------------------------------------------------------------
1239 156 jshamlet
 
1240 167 jshamlet
      Wr_Data                <= x"00";
1241
      Wr_Enable              <= '0';
1242
      Rd_Enable              <= '0';
1243 156 jshamlet
 
1244 167 jshamlet
      case( DP.Src )is
1245
        when DATA_BUS_IDLE =>
1246
          null;
1247 156 jshamlet
 
1248 167 jshamlet
        when DATA_RD_MEM =>
1249
          Rd_Enable          <= '1';
1250 156 jshamlet
 
1251 167 jshamlet
        when DATA_WR_REG =>
1252
          Wr_Enable          <= '1';
1253
          Wr_Data            <= CPU.Regfile(conv_integer(DP.Reg));
1254 156 jshamlet
 
1255 167 jshamlet
        when DATA_WR_FLAG =>
1256
          Wr_Enable          <= '1';
1257
          Wr_Data            <= Flags;
1258 156 jshamlet
 
1259 167 jshamlet
        when DATA_WR_PC =>
1260
          Wr_Enable          <= '1';
1261
          Wr_Data            <= CPU.Program_Ctr(15 downto 8);
1262
          if( DP.Reg = ACCUM )then
1263
            Wr_Data          <= CPU.Program_Ctr(7 downto 0);
1264
          end if;
1265 156 jshamlet
 
1266 167 jshamlet
        when others =>
1267
          null;
1268
      end case;
1269 156 jshamlet
 
1270 167 jshamlet
-------------------------------------------------------------------------------
1271
-- Stack Pointer
1272
-------------------------------------------------------------------------------
1273
      case( SP )is
1274
        when SP_IDLE =>
1275
          null;
1276 156 jshamlet
 
1277 167 jshamlet
        when SP_RSET =>
1278
          CPU.Stack_Ptr      <= Stack_Start_Addr;
1279
          if( Allow_Stack_Address_Move )then
1280
            CPU.Stack_Ptr    <= CPU.Regfile(1) & CPU.Regfile(0);
1281
          end if;
1282 156 jshamlet
 
1283 167 jshamlet
        when SP_POP  =>
1284
          CPU.Stack_Ptr      <= CPU.Stack_Ptr + 1;
1285 156 jshamlet
 
1286 167 jshamlet
        when SP_PUSH =>
1287
          CPU.Stack_Ptr      <= CPU.Stack_Ptr - 1;
1288 156 jshamlet
 
1289 167 jshamlet
        when others =>
1290
          null;
1291 156 jshamlet
 
1292 167 jshamlet
      end case;
1293
 
1294 156 jshamlet
    end if;
1295
  end process;
1296
 
1297
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.