OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_crc16_ccitt.vhd] - Blame information for rev 264

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 180 jshamlet
-- Copyright (c)2020 Jeremy Seth Henry
2
-- All rights reserved.
3
--
4
-- Redistribution and use in source and binary forms, with or without
5
-- modification, are permitted provided that the following conditions are met:
6
--     * Redistributions of source code must retain the above copyright
7
--       notice, this list of conditions and the following disclaimer.
8
--     * Redistributions in binary form must reproduce the above copyright
9
--       notice, this list of conditions and the following disclaimer in the
10
--       documentation and/or other materials provided with the distribution,
11
--       where applicable (as part of a user interface, debugging port, etc.)
12
--
13
-- THIS SOFTWARE IS PROVIDED BY JEREMY SETH HENRY ``AS IS'' AND ANY
14
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
15
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
16
-- DISCLAIMED. IN NO EVENT SHALL JEREMY SETH HENRY BE LIABLE FOR ANY
17
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
18
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
19
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
20
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
21 194 jshamlet
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF
22
-- THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
23 180 jshamlet
--
24
-- VHDL Units : o8_crc16_ccitt
25
-- Description: Implements the 16-bit CCITT CRC on byte-wide data suitable for
26
--            :  use with the Open8 CPU. Logic equations were taken from
27
--            :  Intel/Altera app note AN049.
28
--
29
-- Notes      :  Writing to the byte counter will reset all registers, and to
30
--            :   should be used to clear the CRC accumulator/byte counter
31
--            :   between frames.
32
--
33
-- Register Map:
34
-- Offset  Bitfield Description                        Read/Write
35
--   0x0   AAAAAAAA Data Input register (calc on write)(R/W)
36
--   0x1   AAAAAAAA Byte Counter (clear all on write)  (R/W)
37
--   0x2   AAAAAAAA B0 of calculated CRC               (RO)
38
--   0x3   AAAAAAAA B1 of calculated CRC               (RO)
39
--
40
-- Revision History
41
-- Author          Date     Change
42
------------------ -------- ---------------------------------------------------
43
-- Seth Henry      12/19/19 Design Start
44 224 jshamlet
-- Seth Henry      04/16/20 Modified to use Open8 bus record
45 244 jshamlet
-- Seth Henry      05/18/20 Added write qualification input
46 180 jshamlet
 
47
library ieee;
48
use ieee.std_logic_1164.all;
49
use ieee.std_logic_unsigned.all;
50
 
51
library work;
52
  use work.open8_pkg.all;
53
 
54
entity o8_crc16_ccitt is
55
generic(
56
  Address                    : ADDRESS_TYPE
57
);
58
port(
59 223 jshamlet
  Open8_Bus                  : in  OPEN8_BUS_TYPE;
60 244 jshamlet
  Write_Qual                 : in  std_logic := '1';
61 180 jshamlet
  Rd_Data                    : out DATA_TYPE
62
);
63
end entity;
64
 
65
architecture behave of o8_crc16_ccitt is
66
 
67 224 jshamlet
  alias Clock                is Open8_Bus.Clock;
68
  alias Reset                is Open8_Bus.Reset;
69
 
70 213 jshamlet
  constant Poly_Init         : std_logic_vector(15 downto 0) :=
71
                                (others => '0');
72 180 jshamlet
 
73
  constant User_Addr         : std_logic_vector(15 downto 2)
74
                               := Address(15 downto 2);
75 223 jshamlet
  alias  Comp_Addr           is Open8_Bus.Address(15 downto 2);
76 180 jshamlet
  signal Addr_Match          : std_logic;
77
 
78 244 jshamlet
  alias  Reg_Sel_d           is Open8_Bus.Address(1 downto 0);
79
  signal Reg_Sel_q           : std_logic_vector(1 downto 0) := "00";
80
  signal Wr_En_d             : std_logic := '0';
81
  signal Wr_En_q             : std_logic := '0';
82
  alias  Wr_Data_d           is Open8_Bus.Wr_Data;
83
  signal Wr_Data_q           : DATA_TYPE := x"00";
84
  signal Rd_En_d             : std_logic := '0';
85
  signal Rd_En_q             : std_logic := '0';
86
 
87 213 jshamlet
  signal Next_Byte           : DATA_TYPE := (others => '0');
88
  signal Byte_Count          : DATA_TYPE := (others => '0');
89 180 jshamlet
 
90 213 jshamlet
  signal Calc_En             : std_logic := '0';
91
  signal Buffer_En           : std_logic := '0';
92
  signal Data                : DATA_TYPE := (others => '0');
93
  signal Exr                 : DATA_TYPE := (others => '0');
94
  signal Reg                 : std_logic_vector(15 downto 0) :=
95
                                (others => '0');
96
  signal Comp_Data           : std_logic_vector(15 downto 0) :=
97
                                (others => '0');
98 180 jshamlet
 
99
begin
100
 
101
  Addr_Match                 <= '1' when Comp_Addr = User_Addr else '0';
102 244 jshamlet
  Wr_En_d                    <= Addr_Match and Open8_Bus.Wr_En and Write_Qual;
103
  Rd_En_d                    <= Addr_Match and Open8_Bus.Rd_En;
104 180 jshamlet
 
105
  Exr(0)                     <= Reg(0) xor Data(0);
106
  Exr(1)                     <= Reg(1) xor Data(1);
107
  Exr(2)                     <= Reg(2) xor Data(2);
108
  Exr(3)                     <= Reg(3) xor Data(3);
109
  Exr(4)                     <= Reg(4) xor Data(4);
110
  Exr(5)                     <= Reg(5) xor Data(5);
111
  Exr(6)                     <= Reg(6) xor Data(6);
112
  Exr(7)                     <= Reg(7) xor Data(7);
113
 
114
  CRC16_Calc: process( Clock, Reset )
115
  begin
116
    if( Reset = Reset_Level )then
117 244 jshamlet
      Reg_Sel_q              <= "00";
118
      Wr_En_q                <= '0';
119 180 jshamlet
      Wr_Data_q              <= x"00";
120 244 jshamlet
      Rd_En_q                <= '0';
121 191 jshamlet
      Rd_Data                <= OPEN8_NULLBUS;
122 180 jshamlet
 
123
      Byte_Count             <= x"00";
124
      Calc_En                <= '0';
125
      Buffer_En              <= '0';
126
      Data                   <= x"00";
127
      Reg                    <= x"0000";
128
    elsif( rising_edge(Clock) )then
129 244 jshamlet
      Reg_Sel_q              <= Reg_Sel_d;
130 191 jshamlet
 
131 244 jshamlet
      Wr_En_q                <= Wr_En_d;
132
      Wr_Data_q              <= Wr_Data_d;
133 180 jshamlet
 
134 244 jshamlet
      if( Wr_En_q = '1' )then
135
        case( Reg_Sel_q )is
136 180 jshamlet
          when "00" => -- Load next byte
137
            Data             <= Wr_Data_q;
138
            Calc_En          <= '1';
139
 
140
          when "01" => -- Clear accumulator and byte counter
141
            Byte_Count       <= x"00";
142
            Reg              <= Poly_Init;
143
 
144
          when others => null;
145
        end case;
146
      end if;
147
 
148 244 jshamlet
      Rd_En_q                <= Rd_En_d;
149 191 jshamlet
      Rd_Data                <= OPEN8_NULLBUS;
150 244 jshamlet
      if( Rd_En_q = '1' )then
151
        case( Reg_Sel_q )is
152 180 jshamlet
          when "00" => -- Read last byte
153
            Rd_Data          <= Data;
154
 
155
          when "01" => -- Read the byte counter
156
            Rd_Data          <= Byte_Count;
157
 
158
          when "10" => -- Read the lower byte of the calculated CRC
159
            Rd_Data          <= Comp_Data(7 downto 0);
160
 
161
          when "11" => -- Read the upper byte of the calculated CRC
162
            Rd_Data          <= Comp_Data(15 downto 8);
163
 
164
          when others => null;
165
        end case;
166
      end if;
167
 
168 191 jshamlet
      Calc_En                <= '0';
169
      Buffer_En              <= Calc_En;
170
 
171
      if( Calc_En = '1' )then
172
        Reg(0)               <= Reg(8)  xor            Exr(4) xor Exr(0);
173
        Reg(1)               <= Reg(9)  xor            Exr(5) xor Exr(1);
174
        Reg(2)               <= Reg(10) xor            Exr(6) xor Exr(2);
175
        Reg(3)               <= Reg(11) xor Exr(0) xor Exr(7) xor Exr(3);
176
        Reg(4)               <= Reg(12) xor Exr(1)                      ;
177
        Reg(5)               <= Reg(13) xor Exr(2)                      ;
178
        Reg(6)               <= Reg(14) xor Exr(3)                      ;
179
        Reg(7)               <= Reg(15) xor Exr(4)            xor Exr(0);
180
        Reg(8)               <= Exr(0)  xor Exr(5)            xor Exr(1);
181
        Reg(9)               <= Exr(1)  xor Exr(6)            xor Exr(2);
182
        Reg(10)              <= Exr(2)  xor Exr(7)            xor Exr(3);
183
        Reg(11)              <= Exr(3)                                  ;
184
        Reg(12)              <= Exr(4)                        xor Exr(0);
185
        Reg(13)              <= Exr(5)                        xor Exr(1);
186
        Reg(14)              <= Exr(6)                        xor Exr(2);
187
        Reg(15)              <= Exr(7)                        xor Exr(3);
188
      end if;
189
 
190
      if( Buffer_En = '1' )then
191
        Byte_Count           <= Byte_Count + 1;
192
        Comp_Data            <= Reg xor x"FFFF";
193
      end if;
194
 
195 180 jshamlet
    end if;
196
  end process;
197
 
198
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.