OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_datalatch.vhd] - Blame information for rev 217

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 194 jshamlet
-- Copyright (c)2006, 2016, 2019, 2020 Jeremy Seth Henry
2 180 jshamlet
-- All rights reserved.
3
--
4
-- Redistribution and use in source and binary forms, with or without
5
-- modification, are permitted provided that the following conditions are met:
6
--     * Redistributions of source code must retain the above copyright
7
--       notice, this list of conditions and the following disclaimer.
8
--     * Redistributions in binary form must reproduce the above copyright
9
--       notice, this list of conditions and the following disclaimer in the
10
--       documentation and/or other materials provided with the distribution,
11
--       where applicable (as part of a user interface, debugging port, etc.)
12
--
13
-- THIS SOFTWARE IS PROVIDED BY JEREMY SETH HENRY ``AS IS'' AND ANY
14
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
15
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
16
-- DISCLAIMED. IN NO EVENT SHALL JEREMY SETH HENRY BE LIABLE FOR ANY
17
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
18
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
19
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
20
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
21 194 jshamlet
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF
22
-- THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
23 180 jshamlet
--
24
-- VHDL Units :  o8_datalatch
25
-- Description:  Latches a byte of external data and issues an interrupt on
26
--                capture.
27
--
28
--
29
-- Register Map:
30
-- Offset  Bitfield Description                        Read/Write
31
--   0x00  AAAAAAAA Latched Value                      (RW)
32
--
33
-- Note: Cut the path between LData_q1 and L_Data for timing analysis
34
--
35
-- Revision History
36
-- Author          Date     Change
37
------------------ -------- ---------------------------------------------------
38
-- Seth Henry      01/22/20 Design Start
39
 
40
library ieee;
41
use ieee.std_logic_1164.all;
42
 
43
library work;
44
  use work.open8_pkg.all;
45
 
46
entity o8_datalatch is
47
generic(
48 217 jshamlet
  Reset_Level                : std_logic;
49
  Address                    : ADDRESS_TYPE
50 180 jshamlet
);
51
port(
52 217 jshamlet
  Clock                      : in  std_logic;
53
  Reset                      : in  std_logic;
54 180 jshamlet
  --
55 217 jshamlet
  Bus_Address                : in  ADDRESS_TYPE;
56
  Rd_Enable                  : in  std_logic;
57
  Rd_Data                    : out DATA_TYPE;
58
  Interrupt                  : out std_logic;
59 180 jshamlet
  --
60 217 jshamlet
  L_Strobe                   : in  std_logic;
61
  L_Data                     : in  DATA_TYPE
62 180 jshamlet
);
63
end entity;
64
 
65
architecture behave of o8_datalatch is
66
 
67 217 jshamlet
  constant User_Addr         : std_logic_vector(15 downto 0) := Address;
68
  alias  Comp_Addr           is Bus_Address(15 downto 0);
69
  signal Addr_Match          : std_logic;
70
  signal Rd_En               : std_logic;
71 180 jshamlet
 
72 217 jshamlet
  signal Strobe_sr           : std_logic_vector(3 downto 0);
73
  signal Strobe_re           : std_logic;
74 180 jshamlet
 
75 217 jshamlet
  signal LData_q1            : DATA_TYPE;
76
  signal LData_q2            : DATA_TYPE;
77
  signal LData_q3            : DATA_TYPE;
78 180 jshamlet
 
79
begin
80
 
81 217 jshamlet
  Addr_Match                 <= Rd_Enable when Comp_Addr = User_Addr else '0';
82
  Strobe_re                  <= Strobe_sr(2) and not Strobe_sr(3);
83 180 jshamlet
 
84
  io_reg: process( Clock, Reset )
85
  begin
86
    if( Reset = Reset_Level )then
87 217 jshamlet
      Rd_En                  <= '0';
88
      Rd_Data                <= OPEN8_NULLBUS;
89
      Strobe_sr              <= (others => '0');
90
      Interrupt              <= '0';
91
      LData_q1               <= x"00";
92
      LData_q2               <= x"00";
93
      LData_q3               <= x"00";
94 180 jshamlet
    elsif( rising_edge( Clock ) )then
95 217 jshamlet
      Strobe_sr              <= Strobe_sr(2 downto 0) & L_Strobe;
96 180 jshamlet
 
97 217 jshamlet
      LData_q1               <= L_Data;
98
      LData_q2               <= LData_q1;
99
      Interrupt              <= Strobe_re;
100 180 jshamlet
      if( Strobe_re = '1' )then
101 217 jshamlet
        LData_q3             <= LData_q2;
102 180 jshamlet
      end if;
103
 
104 217 jshamlet
      Rd_Data                <= OPEN8_NULLBUS;
105
      Rd_En                  <= Addr_Match;
106 180 jshamlet
      if( Rd_En = '1' )then
107 217 jshamlet
        Rd_Data              <= LData_q3;
108 180 jshamlet
      end if;
109
    end if;
110
  end process;
111
 
112
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.